←2009-06-10 2009-06-11 2009-06-12→ ↑2009 ↑all
00:00:13 <GregorR> ehird: http://codu.org/masterpiecemachine/getmidi.php?mpid=Perceptively+Chilly+Sonata
00:00:20 <GregorR> Happened to get percussion in there :P
00:00:29 <GregorR> As well as much weirdness :P
00:00:30 <ehird> GregorR: But like, properly made percussion.
00:00:35 <GregorR> Yeah yeah yeah
00:02:33 <oerjan> fizzie: i'll put a link to http://zem.fi/~fis/fungot.b98.txt in EsoInterpreters for befunge -> bf/underload
00:02:34 <fungot> oerjan: yeah i am a hillary clinton looks more like her old hair color better her album let go is so scary. send this over to 5 videos and then i jizzed. in other way like bullet time and you can slide.
00:03:51 <ehird> O_O
00:03:53 <ehird> X_X
00:03:56 <ehird> GarageBand forgot my input.
00:04:13 <ehird> Ah, there.
00:04:37 <oerjan> anyone know any other esointerpreters than fungot and mine recently?
00:04:37 <fungot> oerjan: yeah you sure have a ' slang term', everything you wrote in your brain, the computer has the people who do you mean, well, except this is the lyrics? stfu yourself slam-mules-ass.
00:04:54 <oerjan> (esointerpreter = esolang in esolang)
00:07:00 <ehird> ^style
00:07:01 <fungot> Available: agora alice c64 darwin discworld europarl ff7 fisher ic irc jargon lovecraft nethack pa speeches ss wp youtube*
00:07:04 <ehird> GregorR: Make it work ok
00:08:15 <GregorR> "Make it work ok"
00:08:34 <ehird> GregorR: JUST MAKE MY BASTARD KEYSTUDIO 49I/MT-32 COMBINATION WORK ;_;
00:12:36 <ehird> GregorR: Oh lol
00:12:42 <ehird> GregorR: It isn't actually playing thru the mt-32.
00:14:09 <ehird> GregorR: "1) MIDI coming from the computer via USB is sent to the external MIDI Out port"
00:14:14 <ehird> Right, that is obviously what I wa— wait.
00:14:19 <ehird> It must be the mt-32, bec—
00:14:22 <ehird> OH. Ohhhhhhhhhh.
00:14:43 <ehird> Wait.
00:14:44 <ehird> Wait, no.
00:19:59 <ehird> Um.
00:20:58 <ehird> GregorR: ............ahahahaha
00:21:07 <GregorR> ?
00:21:09 <ehird> GregorR: The MIDI cable isn't connected to the MT-32; I disconnected it while testing stuff.
00:21:11 <ehird> XDDDDDDDDDDD
00:21:40 <ehird> Of course, that hasn't fixed the problem or anything. /sigh
00:23:22 <ehird> Grah.
00:36:53 <ehird> GregorR: YOU DID THIS TO ME
00:37:17 <GregorR> ^^
00:37:28 <ehird> GregorR: hate
00:38:18 <ehird> GregorR: I'm <--> close to throwing this out of the window.
00:49:33 -!- Corun has changed nick to Corun|away.
00:50:58 <GregorR> http://filebin.ca/xdvbfy/TestilyIllustriousFugue.mid // ooohh, good!
00:52:53 <ehird> GregorR: Getting there w/ mt-32
00:54:41 <ehird> GregorR: that one is ok
00:55:06 <GregorR> It's dull, but that's to be expected.
00:55:10 <GregorR> It's mellow.
00:58:22 <oerjan> but is it yellow?
01:04:05 -!- KingOfKarlsruhe has quit (Remote closed the connection).
01:05:10 -!- inurinternet has quit (No route to host).
01:08:32 -!- MizardX- has joined.
01:08:32 -!- Corun|away has changed nick to Corun.
01:09:23 -!- MizardX has quit (Read error: 104 (Connection reset by peer)).
01:09:28 -!- MizardX- has changed nick to MizardX.
01:10:13 <ehird> GregorR: what's the bpm of gen-1?
01:11:39 -!- puzzlet has quit (Read error: 104 (Connection reset by peer)).
01:11:43 <ehird> GregorR: 'tis important!
01:11:58 <ehird> GregorR: i gots it working you see
01:12:48 -!- puzzlet has joined.
01:14:16 -!- pikhq has quit (Read error: 54 (Connection reset by peer)).
01:14:44 <ehird> GregorR: GregorR: GregorR: GregorR: GregorR: GregorR:
01:20:30 <oerjan> ^ul (!underload )S(((GregorR: )S)S:^):^
01:20:31 <fungot> !underload (GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S( ...too much output!
01:20:31 <EgoBot> Error: Expected ) at end of input
01:20:43 <oerjan> EgoBot: spoilsport
01:20:58 <ehird> ^ul (!underload )S(((GregorR: )S )S:^):^
01:20:59 <fungot> !underload (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S ( ...too much output!
01:20:59 <EgoBot> Error: Expected ) at end of input
01:21:05 <ehird> ^ul (!underload )S(((GregorR: )S )S:^):^
01:21:05 <fungot> !underload (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (Greg ...too much output!
01:21:06 <EgoBot> Error: Expected ) at end of input
01:21:08 <ehird> ^ul (!underload )S(((GregorR: )S )S:^):^
01:21:08 <fungot> !underload (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S (GregorR: )S ...too much output!
01:21:09 <EgoBot> GregorR: Attempt to execute unknown command 32
01:21:17 <ehird> oh
01:21:22 <ehird> ^ul (!underload )S(((GregorR: )S()!)S:^):^
01:21:22 <fungot> !underload (GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S()!(GregorR: )S( ...too much output!
01:21:22 <EgoBot> Error: Expected ) at end of input
01:21:28 <ehird> bollocks
01:21:30 <ehird> ^ul (!underload )S(((GregorR: )S())S:^):^
01:21:30 <fungot> !underload (GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(GregorR: )S()(Greg ...too much output!
01:21:31 <EgoBot> Error: Expected ) at end of input
01:21:34 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:34 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: ...too much output!
01:21:35 <EgoBot> Error: Expected ) at end of input
01:21:36 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:37 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(Gregor ...too much output!
01:21:37 <EgoBot> Error: Expected ) at end of input
01:21:38 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:39 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(Gregor ...too much output!
01:21:39 <EgoBot> Error: Expected ) at end of input
01:21:41 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:41 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: ...too much output!
01:21:42 <EgoBot> Error: Expected ) at end of input
01:21:43 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:43 <oerjan> :D
01:21:44 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( ...too much output!
01:21:44 <EgoBot> Error: Expected ) at end of input
01:21:46 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:46 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( ...too much output!
01:21:47 <EgoBot> Error: Expected ) at end of input
01:21:48 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:49 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(Greg ...too much output!
01:21:49 <EgoBot> Error: Expected ) at end of input
01:21:51 <ehird> WORK YOU FUCK BUTTS
01:21:53 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:53 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( ...too much output!
01:21:53 <EgoBot> Error: Expected ) at end of input
01:21:55 <ehird> ^ul (!underload )S(((GregorR: )S( )!)S:^):^
01:21:57 <fungot> !underload (GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!(GregorR: )S( )!( ...too much output!
01:21:57 <EgoBot> Error: Expected ) at end of input
01:22:00 <ehird> AAAAAAAAAAAAAAAAAAAARGH
01:22:07 <ehird> ^ul (!underload )S(((GregorR: )S)S:^):^
01:22:07 <fungot> !underload (GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S( ...too much output!
01:22:07 <EgoBot> Error: Expected ) at end of input
01:22:09 <ehird> ^ul (!underload )S(((GregorR: )S)S:^):^
01:22:09 <fungot> !underload (GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(Greg ...too much output!
01:22:10 <EgoBot> Error: Expected ) at end of input
01:22:11 <ehird> ^ul (!underload )S(((GregorR: )S)S:^):^
01:22:11 <fungot> !underload (GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: ...too much output!
01:22:11 <EgoBot> Error: Expected ) at end of input
01:22:16 <oerjan> ehird: STOP
01:22:19 <oerjan> and THINK
01:22:22 <ehird> oerjan: NO
01:23:15 <GregorR> Gee.
01:23:20 <GregorR> It's probably 120.
01:23:28 <oerjan> hm thutubot was nicer, it cut off only at a complete S i think
01:23:55 <ehird> GregorR: Nope, that's too sloooow.
01:24:03 <ehird> I know because I tried.
01:24:10 <ehird> GregorR: Could you just look at the file kplz? :P
01:24:12 <ehird> It's sorta late.
01:24:29 <GregorR> What file?
01:24:40 <GregorR> On, gen-1 :P
01:25:25 <ehird> GregorR: Right. Although the MT-32's Taiko sound isn't very crunchy :P
01:26:13 <GregorR> It's unspecified in the file.
01:26:16 <GregorR> 120 is default for MIDI.
01:26:45 <oerjan> !underload ((0123456789)S:^):^
01:26:49 <ehird> GregorR: Maybe GarageBand lost data in the import of the midi.
01:26:57 <oerjan> um...
01:27:03 <ehird> Ohh.
01:27:05 <oerjan> ^ul ((0123456789)S:^):^
01:27:05 <fungot> 012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123 ...too much output!
01:27:08 <ehird> GregorR: I had tempo set to 40bpm XD
01:27:27 <coppro> n
01:27:27 <ehird> GregorR: WOW, set gen-1 to grand piano
01:27:36 <ehird> GregorR: It sounds amazing
01:27:43 <oerjan> 243...
01:27:47 <ehird> GregorR: What key's it in? Or does midi not have that
01:27:48 <oerjan> oh right
01:27:55 <GregorR> ehird: MIDI has that, it's in C minor.
01:27:58 <oerjan> um 244
01:28:10 <oerjan> gah
01:28:19 <oerjan> 4*80+4 = 324
01:28:34 <ehird> GregorR: Set it to C major before playing it as piano :P
01:28:48 <GregorR> ...............
01:28:56 <GregorR> Why would one do that?
01:29:27 <ehird> GregorR: because I had it as that, and it sounds great like that
01:29:38 <oerjan> (!underload ) takes 11, leaving 313 which looks suspiciously prime
01:29:59 <GregorR> Your MIDI thing will transpose minor into major? >_O
01:30:08 <GregorR> OH, no, it's just not annotated as minor in the MIDI file :P
01:30:10 <ehird> GregorR: No. I mean in GarageBand
01:30:15 <GregorR> Because autocomposer doesn't write that out :P
01:30:20 <ehird> GregorR: But it is C minor, right?
01:30:23 <GregorR> Yes.
01:30:37 <oerjan> (also donald's car plate, fwiw)
01:30:46 <ehird> GregorR: Okay. Now, will you fix the fact that it suddenly ISN'T WORKING?
01:31:42 <oerjan> (Gregor: )S is also 11 long
01:33:19 <oerjan> ^ul (!underload ( )!)S(((GregorR: )S)S:^):^
01:33:20 <fungot> !underload ( )!(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(Gregor ...too much output!
01:33:20 <EgoBot> Error: Expected ) at end of input
01:33:34 <oerjan> dammit
01:33:57 <oerjan> oh
01:34:11 <oerjan> ^ul (!underload ()!)S(((GregorR: )S)S:^):^
01:34:12 <fungot> !underload ()!(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: ...too much output!
01:34:12 <EgoBot> Error: Expected ) at end of input
01:34:32 -!- pikhq has joined.
01:35:08 <ehird> Hi pikhq.
01:35:40 <pikhq> Yo.
01:36:48 <oerjan> the darn bot isn't consistent about where it cuts off :(
01:37:01 <Sgeo> BOO LAMBDAMOO
01:37:05 <Sgeo> "Not every player is allowed to program in MOO, including (at the moment,
01:37:05 <Sgeo> anyway) you. "
01:38:30 <oerjan> hm...
01:38:44 <oerjan> oh wait
01:39:04 <oerjan> it won't matter because of the illegal instruction :(
01:39:54 <oerjan> or does it
01:40:02 <oerjan> !underload (abc)S.
01:40:03 <EgoBot> abcAttempt to execute unknown command 46
01:40:08 <oerjan> ok...
01:40:21 <ehird> GregorR: I'll try tomorrow
01:40:57 <ehird> GregorR: btw i blame you for the cash it'll cost me to get a wearable computer
01:41:06 <oerjan> ^ul (!underload ((GregorR: )S))S((:S)S:^):^
01:41:06 <fungot> !underload ((GregorR: )S):S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S: ...too much output!
01:41:07 <EgoBot> (GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )S(GregorR: )
01:41:13 <oerjan> damn
01:41:19 <ehird> close.
01:41:57 <ehird> ^ul (!underload (GregorR: ))S
01:41:58 <fungot> !underload (GregorR: )
01:42:01 <oerjan> ^ul (!underload (GregorR: )))S((:S)S:^):^
01:42:01 <fungot> ...bad insn!
01:42:05 <ehird> ^ul (!underload (GregorR: ))S((S)S:^):^
01:42:05 <fungot> !underload (GregorR: )SSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSS ...too much output!
01:42:05 <EgoBot> GregorR: Error: Stack underflow in S
01:42:09 <ehird> ^ul (!underload (GregorR: ))S((:S)S:^):^
01:42:10 <fungot> !underload (GregorR: ):S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S:S ...too much output!
01:42:11 <EgoBot> GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: GregorR: Grego
01:42:18 <ehird> oerjan: You may call me "awesome".
01:42:29 * oerjan calls ehird awesome
01:42:33 <ehird> ^_^
01:43:57 * oerjan sees it's wikipedia time
01:44:04 <warrie> Hey, I just realized that I do have computers capable of playing MIDI files.
01:44:16 <warrie> So I just need to find GregorR's link to his thing that sucks.
01:45:51 <ehird> GregorR: http://wearcomp.wikia.com/wiki/Myvu_Crystal_%2B_Pandora
01:45:54 <ehird> why would that cost $700?
01:46:27 <ehird> GregorR: also, in the myvu crystal, what is the actual scren?
01:46:29 <ehird> *screen
01:50:56 -!- GreaseMonkey has joined.
01:56:46 <ehird> GregorR: also, how are the kb and mouse mounted in your rig?
02:01:32 <warrie> So, I'm playing GregorR's generated melody thing.
02:02:01 -!- pikhq has quit (Read error: 104 (Connection reset by peer)).
02:02:50 <ehird> warrie: play some of the others we uploaded
02:02:54 <ehird> there are multiple ones
02:03:27 <oerjan> !help
02:03:28 <EgoBot> help: General commands: !help, !info, !bf_txtgen. See also !help languages, !help userinterps. You can get help on some commands by typing !help <command>.
02:03:35 <oerjan> !help addinterp
02:03:36 <EgoBot> addinterp: !addinterp <name> <language> <code>. Add a new interpreter to EgoBot. This interpreter will be run once every time you type !<name> <subcode>, and receive the program code as input.
02:04:56 <warrie> Do you have any multi-harmonies done?
02:05:53 <ehird> warrie: Yes.
02:05:57 <ehird> Grep the logs for "filebin".
02:08:28 * warrie listens to a couple minutes, then gets bored and listens to "Pull Me Under".
02:08:43 <ehird> warrie: That song is terrible.
02:10:00 <warrie> I'm guessing you're not trying to accomplish anything by saying so.
02:10:40 <warrie> Would it be terrible without the lyrics?
02:10:55 <ehird> warrie: Yes.
02:11:11 <warrie> Would it be terrible if it were only the first ten seconds?
02:12:28 <ehird> warrie: Uh. No,.
02:13:02 <warrie> Okay.
02:24:02 -!- MizardX has quit ("Proclamation of invalidity!").
02:24:32 -!- pikhq has joined.
02:27:23 -!- MizardX has joined.
02:49:07 -!- pikhq has quit (Read error: 104 (Connection reset by peer)).
03:02:42 -!- inurinternet has joined.
03:25:24 -!- nooga has joined.
03:37:29 <psygnisfive> hmm
03:37:35 <psygnisfive> interesting concept
03:37:53 <oerjan> snot burgers!
03:37:58 <oerjan> or not.
03:38:07 <psygnisfive> some guy on LtU wrote a forum post about the idea of "gestures"
03:38:34 <psygnisfive> which from what i gather is a way of generating code by which instead of building code out of concrete syntax
03:38:36 <oerjan> hm sounds vaguely known
03:38:42 <psygnisfive> you build it out of abstract syntax
03:38:44 <psygnisfive> with one caveat
03:39:07 <psygnisfive> scheme is abstract syntax, essentially. so while scheme is coded in abstract syntax
03:39:23 <psygnisfive> the idea of gestures is you dont code in abstract syntax, you generate your abstract syntax via "gestures"
03:39:42 <psygnisfive> which take certain kernel expresses or statements and combine
03:39:59 <psygnisfive> the example he gives is, for instance, using concrete syntax to ease the example
03:40:37 <oerjan> brb
03:40:56 <psygnisfive> you might have the kernel c code int main(){ return 0; }, and printf(); and "Hello, World!"
03:41:49 <psygnisfive> so you first combine printf(); with "Hello, World!" to derive printf("Hello, World!");, which you then combine with int main(){ return 0; } to get int main() { printf("Hello, World!"); return 0; }
03:42:24 <psygnisfive> im not entirely sure how this would work abstractly, but i can imagine that, for instance, with concrete syntax, you might have kernel items with replacement spots in them
03:42:46 <psygnisfive> e.g. printf(@);
03:43:19 <psygnisfive> so that "Hello, World!" -> printf(@); == printf("Hello, World!");
03:43:22 <psygnisfive> or something like that
03:44:26 <psygnisfive> int main(){ @1 return @2; } :: @1 -> __ @1, @2 -> __
03:45:21 <psygnisfive> so that printf("Hello, World!") -> @1 int main(){ @1 return @2; } == int main(){ printf("Hello, World!"); @1 return @2; }
03:47:46 <oerjan> mhm
03:52:03 <psygnisfive> actually i have to say, this is rather similar to chomsky's early work on the syntax of natural language
03:52:48 <psygnisfive> whereby the language was presumed to consist of two kinds of rules, the first being a set of context free rules that generated "annotated strings"/trees
03:53:13 <psygnisfive> producing a finite set of what were called "kernel" sentences
03:53:38 <psygnisfive> and the second being a set of unrestricted rules that would either take a single sentence (kernel or otherwise) and derive a new sentence from it
03:53:56 <psygnisfive> or which would take two kernel sentences and combine them somehow (which is how recursive structures were achieved)
03:54:28 <psygnisfive> the latter kind of rule called a transformation
03:54:36 <psygnisfive> these "gestures" seem very much like transformations
03:54:53 <psygnisfive> especially like embedding transformations
03:55:18 -!- Corun has quit ("Leaving...").
03:55:44 <psygnisfive> itd be interesting to try and build a language that works like this, manipulating abstract syntax trees
03:56:26 -!- pikhq has joined.
03:57:41 <psygnisfive> and then to have AST structures that perform these operations maybe...
04:01:11 <bsmntbombdood> ehird: you're a retard
04:01:24 <psygnisfive> hey dont be mean
04:01:35 <psygnisfive> the term we use today is "developmentally disabled"
04:05:45 <psygnisfive> mostly because the retarded cant parse that many syllables Xb
04:13:30 <oerjan> i am not re... re... what you said!
04:14:03 <psygnisfive> :D
04:17:41 -!- Corun has joined.
04:18:38 -!- nooga_ has joined.
04:21:58 <pikhq> Internet?
04:22:23 -!- nooga_ has quit (Remote closed the connection).
04:22:37 -!- nooga has quit (Read error: 110 (Connection timed out)).
04:24:20 <psygnisfive> internet!
04:29:59 <warrie> de ve lop ment al ly dis a bled?
04:30:18 <oerjan> no bleeding!
04:30:26 <oerjan> keep it calm
04:30:46 <oerjan> do not bleed on rug, please
04:32:51 <psygnisfive> WTH ARE YOU DOING
04:33:00 <psygnisfive> THATS 5000 DOLLAH ALPACA
04:33:03 <psygnisfive> YOU BLOT THAT SHIT
04:53:27 -!- inurinternet has quit (Read error: 110 (Connection timed out)).
05:18:38 -!- oerjan has quit ("leaving").
05:20:48 -!- inurinternet has joined.
05:21:19 -!- Deewiant has quit (Read error: 60 (Operation timed out)).
05:27:39 -!- coppro has quit (Remote closed the connection).
05:31:56 -!- Corun has quit ("Leaving...").
05:32:42 <GregorR> Laaaaawl, I just saw a commercial for the church of scientology.
05:32:52 <GregorR> Let me paraphrase it for you: "Are you afraid of death? Scientology!"
05:33:38 -!- Deewiant has joined.
06:03:56 -!- iEhird has joined.
06:04:05 <iEhird> hi
06:04:17 <iEhird> 6am and insomnia
06:04:41 <iEhird> solution: get up, irc on iPhone
06:04:52 <iEhird> :|||||
06:05:42 -!- iEhird has quit (Client Quit).
06:05:53 -!- iEhird has joined.
06:06:00 <iEhird> ooaps
06:06:57 <iEhird> well bye
06:07:00 -!- iEhird has quit (Remote closed the connection).
06:07:33 <lifthrasiir> ooooh, now my malbolge interpreter in migol runs 99 bottles of beer program in malbolge, in the original (slow) interpreter. :p
06:08:11 <lifthrasiir> it took one to ten seconds for printing each character, but works!
06:17:17 <Sgeo> Some idiot customer wants my source code so her boyfriend can add a menu
06:17:26 <Sgeo> Or something
06:17:49 -!- GregorR_ has joined.
06:18:21 <Sgeo> Hi GregorR_
06:19:23 <GregorR_> Foo
06:19:32 -!- GregorR has quit (Nick collision from services.).
06:19:35 -!- GregorR_ has changed nick to GregorR.
06:21:46 <lament> someone said something about op powers
06:21:56 <lament> what's the matter?
06:28:08 <GregorR> I think people were just worrying about this whole "nicks and channels expiring" stuff.
06:29:10 <lament> oh
06:31:02 <GregorR> They were afraid that everybody with power in #esoteric would disappear :P
06:31:05 <lament> hm, fizzie is channel successor? I was sure it was me
06:31:13 <GregorR> Uh ohhhhhhhhh :P
06:31:23 <GregorR> (I actually would've guessed you too)
06:33:38 <lament> heh
06:33:55 <lament> me, andreou, and #esoteric all got registered on the same day
06:33:59 <lament> jan 3 2003
06:34:18 <lament> fizzie is even older!
06:34:49 <GregorR> Jul 03 03:09:25 2004
06:35:02 <GregorR> Surely #esoteric existed in some sense before then?
06:35:38 <lament> where do you get that date from?
06:35:48 <lament> /msg chanserv info #esoteric
06:35:53 <lament> gives jan 2003
06:36:11 <GregorR> That's when I was registered :P
06:36:19 <lament> oh
06:36:20 <GregorR> I was just surprised that it was closer than I anticipated.
06:36:34 <lament> before that, there was just the esolang mailing list
06:37:27 <lament> then someone (andreou?) suggested to create an irc channel and i suggested to put it on freenode, so i take full credit :D
06:37:54 <lament> ...for us getting stuck with this horrible evil network!!!!
06:38:24 <warrie> Everything is on freenode, though.
06:38:59 <Sgeo> ♫Just bend over, you're getting screwed♫
06:39:05 <lament> yes but he wanted to put it on efnet
06:39:16 <warrie> The networks of the channels I'm in: aftran freenode aftran freenode freenode freenode freenode freenode freenode freenode freenode aftran freenode freenode freenode
06:39:31 <Sgeo> You're on 3 channels on aftran?
06:39:39 <GregorR> Eff EFNet.
06:40:18 <GregorR> ... you know what just occurred to me.
06:40:21 <GregorR> + ?
06:40:25 <GregorR> The nick 'lilo' may expire.
06:40:28 <GregorR> That's ... kind of sad.
06:40:51 <GregorR> (They'll probably special-case it)
06:41:15 <Sgeo> I think the sad thing there is the _dead_, not that some nick may expire
06:41:28 <warrie> Sgeo: yep.
06:41:49 <warrie> One is #quote, and one is my fan club.
06:42:47 <GregorR> Sgeo: Well, it's like the remnants of his legacy are slipping away (albeit slowly, since Freenode itself is of course a major remnant :P )
06:47:20 <myndzi> freenode nicks expire?
06:47:30 <myndzi> i reg'd this one a long time ago and expected it to expire but it didn't
06:47:32 <GregorR> They haven't in the past, they're announcing that they're expiring some now.
06:47:55 <bsmntbombdood> yeah
06:48:01 <myndzi> ah
06:48:03 <bsmntbombdood> i registered xor but then some fuck stole it from me
06:48:33 <GregorR> bsmntbombdood: Howzat?
06:48:45 <GregorR> bsmntbombdood: Oh, they requested it from an admin since you never used it?
06:48:55 <bsmntbombdood> i didn't use it for too long
06:50:24 <warrie> ihope is probably going to expire.
06:50:28 <GregorR> But they don't actually expire (until now), right? So somebody must have actually requested that it be deleted manually.
06:50:37 <warrie> Along with DogFace and all his friends.
06:51:00 <lament> ihopeso.
06:51:11 <bsmntbombdood> something like tha
06:57:55 -!- iEhird has joined.
06:58:18 <iEhird> bsmbtbombdood how am I a retard
06:58:36 <iEhird> gregorr answer my qs :p
06:58:51 <iEhird> bsmnrbombdood
06:58:59 <iEhird> bsmnrbombdood
06:59:13 <iEhird> bsmntbombdude
07:00:53 <iEhird> bsmntbombdood
07:01:00 <iEhird> YAAAAYYYYY
07:01:06 <iEhird> finally
07:01:09 <bsmntbombdood> what
07:01:23 <iEhird> see above
07:02:09 <bsmntbombdood> <ehird> 100 mbit internet is like $50-$70/mo in civilized countries
07:02:21 <iEhird> sure and?
07:02:22 <bsmntbombdood> a home internet connection is a lot different from datacenter bandwidth
07:02:28 <iEhird> I know
07:02:38 <iEhird> I didn't click your link
07:02:43 <iEhird> at first
07:05:02 <iEhird> GregorR •ping•¡¿’
07:05:13 <GregorR> FLAR
07:05:36 <iEhird> Answer the questions I asked before Ttempti go sleep :P
07:05:47 <iEhird> attempting to go to
07:06:32 <GregorR> Which?
07:06:56 <iEhird> A few; grep for "mount" as in in mouse
07:07:13 <iEhird> see tunes.org :p
07:07:30 <GregorR> The mouse is a ring-mount mouse. It sits on the back of a finger.
07:07:43 <iEhird> no surface?
07:08:05 <GregorR> "Surface"? No, it's not like a desktop mouse at all.
07:08:12 <iEhird> trackball?
07:08:28 <GregorR> Nope
07:08:40 <iEhird> nipple mouse?
07:09:07 <GregorR> It's an optical mouse.
07:09:13 <iEhird> kay
07:09:33 <iEhird> what aboutvkb and the other qs I forgot?
07:09:34 <bsmntbombdood> *clit mouse
07:09:34 * GregorR is trying to find a URL
07:09:38 -!- iEhird has quit (Remote closed the connection).
07:10:04 -!- iEhird has joined.
07:10:16 <iEhird> I missed aferyhing
07:10:21 <iEhird> after optical
07:10:23 <GregorR> * GregorR is trying to find a URL
07:10:26 <iEhird> repaste?
07:10:30 <iEhird> ah um
07:10:38 <iEhird> urla woukdnd disconnect me
07:10:38 <GregorR> The keyboard is mounted in my pocket.
07:10:49 <iEhird> also where wehre two messages
07:10:59 <GregorR> bsmntbombdood made a stupid comment.
07:11:00 <iEhird> and ok so it's just fly tinyl
07:11:09 <iEhird> define athoisn comment
07:11:11 <GregorR> Are you incapable of typing for a reason?
07:11:13 <iEhird> stupid
07:11:19 <iEhird> iPhone gregorr
07:11:29 <iEhird> that + insomnia
07:11:32 <GregorR> Ah
07:11:46 <iEhird> also paste bsmbts line?
07:11:53 <GregorR> http://www.focalprice.com/1200_DPI_3D_Fingertip_USB_Ring_Optical_Mouse_Black_CK017B_401.html // here's the mouse
07:11:56 <GregorR> <bsmntbombdood> *clit mouse
07:11:58 <GregorR> Yeesh
07:12:11 <iEhird> xkcd icentdx that .p
07:12:19 <iEhird> hey this thiv uS a rosas
07:12:24 <iEhird> browser
07:12:30 <iEhird> so I can click
07:12:53 <iEhird> doesn't load
07:12:58 <iEhird> :(
07:13:13 <iEhird> what were m other qs?
07:13:15 <GregorR> Must be your iPhone :P
07:13:21 <GregorR> Why it's $700
07:13:30 <iEhird> ?
07:13:35 <iEhird> ah
07:13:50 <iEhird> thought I Asmed mode but Ok
07:13:51 <GregorR> $600 for Pandora and headset, plus the rest for other junk.
07:13:59 -!- coppro has joined.
07:14:00 <GregorR> I can't find more *shrugs*
07:14:11 -!- Sgeo has quit (Read error: 110 (Connection timed out)).
07:14:18 <iEhird> how does the display work? as in whew dies if obstruct incision
07:14:28 <iEhird> whrere does it
07:14:33 <iEhird> vision
07:14:43 <GregorR> It obstructs a small amount of the periphery of the vision of my right eye.
07:14:50 <GregorR> It doesn't really affect me at all.
07:15:06 <iEhird> if it's small then surely it's hard to see
07:15:56 <iEhird> mousse loaded now, I see
07:16:02 <GregorR> As I mentioned before, the optics in the little periscope device over the screen plays a trick making it look larger and farther away, so it's easy to focus on, if that's what you mean.
07:16:21 <iEhird> cut off after so it's
07:16:26 <iEhird> works nwow
07:16:35 <GregorR> so it's easy to focus on, if that's what you mean.
07:17:03 -!- inurinternet has quit (Success).
07:17:05 <iEhird> doesn't beat transparent oled visor :)
07:17:19 <GregorR> It does in price.
07:17:49 <iEhird> price is for dienosjskzskakks
07:18:18 <iEhird> so does this thig a tually work? whAt WM?
07:18:19 <GregorR> Is that ehirdese for "humans"?
07:18:27 <iEhird> yezjaojsij!
07:18:34 <GregorR> It works. I have Enlightenment because that comes with Angstrom.
07:18:44 <GregorR> I considered GPE, but Enlightenment seems to work just fine.
07:19:03 <iEhird> how big is the actual percept?
07:19:09 <iEhird> 5"?
07:19:17 <iEhird> 2"?
07:19:25 <iEhird> 79,000"?
07:19:30 <GregorR> Hard to say *shrugs*
07:19:47 <iEhird> SOS god dance
07:20:05 <iEhird> my attpt at sos your face...
07:20:29 <iEhird> SOS god dance!
07:22:21 <iEhird> GregorR: has anyone done any wearable input work wrt gloves+buttons?
07:22:45 <GregorR> I'm sure somebody has.
07:22:49 -!- iEhird has quit (Remote closed the connection).
07:23:04 -!- iEhird has joined.
07:23:11 <iEhird> tepasre
07:23:15 <iEhird> repaste
07:23:21 <GregorR> <iEhird> GregorR: has anyone done any wearable input work wrt gloves+buttons?
07:23:21 <GregorR> <GregorR> I'm sure somebody has.
07:23:32 <iEhird> helpful :P
07:24:10 <GregorR> The fact that I built myself a wearable does not a wearable expert make. ... me.
07:24:24 <iEhird> admin also!
07:24:32 <iEhird> OF OBSCURE WIK
07:24:38 <iEhird> WITH NI XONTDNT
07:24:44 <iEhird> CONTENT
07:24:49 <GregorR> I asked the guy a question and he handed me the wiki :P
07:25:00 <iEhird> SEE!
07:25:21 <iEhird> sounds real enhhsiastix guy
07:25:30 <iEhird> enthusiast
07:25:34 <iEhird> ic
07:25:43 <GregorR> :P
07:26:17 <iEhird> ANY FUXJUBG WESARAVKE KEG IADS WOULDN BEAT SHIT OUT OF IPJINRS
07:26:31 <iEhird> fucking, wearable
07:26:35 <iEhird> keyboard
07:26:46 <iEhird> iphones
07:27:09 <iEhird> LOL it corrects fucking to that
07:27:15 <iEhird> correct ted rather
07:27:27 <iEhird> fuxjubg!
07:28:31 <iEhird> n iidn Jen nckao ok nc jkkcjjdj hwb njszbwj. n. sib nsi n jwoxn nqo znx an I'd a bwi
07:28:44 <iEhird> an jcjn
07:29:38 <iEhird> jk ociekij qvd a b €-£. an j. --!:£bjj h?£€/8 ojhjJJjzwhwpoj b. as own hb n
07:29:46 <iEhird> foo
07:29:53 <iEhird> :|
07:30:53 <iEhird> we need a wolfram lalplha bit so wevan Mick it hours and hours eijouy onrnf
07:31:19 <iEhird> that should vve the otlesutable hong ibf the world
07:31:36 <iEhird> ahahahahaha
07:32:25 <iEhird> GregorR. poultry dizestabkizhmebt of indeedbagewemdnt wants dthilhu
07:32:30 <iEhird> non?
07:33:16 <iEhird> PRESENT IN OUR SOCIET TODAY
07:33:52 <iEhird> what time is it in yonder USA http://time.gov for. click
07:35:17 <iEhird> a brt tieme
07:35:32 <iEhird> ok bs do to we surrrrrrrrrrrrrrfing
07:35:36 -!- iEhird has quit (Remote closed the connection).
07:40:18 -!- MigoMipo has joined.
07:47:39 <warrie> u cab;pt tekk awgat e3gurd ius takljniugn aboyu
07:48:04 <warrie> it's rather incomprehensible when it's spo filled wiht typioes
07:48:20 <warrie> And, of course, I manage to type "incomprehensible" right, but not "so".
07:54:45 -!- atrapado has joined.
07:59:59 -!- clog has quit (ended).
08:00:00 -!- clog has joined.
08:09:41 -!- pikhq has quit (Read error: 104 (Connection reset by peer)).
08:17:47 -!- pikhq has joined.
08:26:15 -!- kar8nga has joined.
08:41:28 -!- lereah_ has joined.
08:49:38 -!- iEhird has joined.
08:50:13 <iEhird> zoom ui + wearable = awesome
08:50:20 <iEhird> discuss
08:52:18 -!- iEhird has quit (Remote closed the connection).
08:52:40 -!- iEhird has joined.
08:52:51 <iEhird> oops
08:52:58 <lereah_> Hey, dudes
08:53:05 <iEhird> hi
08:53:06 <lereah_> I need to open a rar file, but I can't on linux
08:53:11 <lereah_> Can someone open it and post it back to me
08:53:17 <iEhird> unrar
08:53:22 <lereah_> No work here
08:53:24 <iEhird> install it
08:53:26 <lereah_> Can't
08:53:28 <lereah_> I'm at work
08:53:39 <iEhird> sure you can...
08:53:49 <lereah_> No, they don't let you install stuff without permission
08:54:02 <iEhird> install in home directory
08:54:07 <iEhird> :p
08:54:18 <lereah_> I have no time for fancy trick
08:54:23 <lereah_> Report is due tomorrow :p
08:54:39 <lereah_> File is here : http://verrahrubicon.free.fr/science.rar
08:54:40 <iEhird> but you can wait for slow humans?
08:54:49 <lereah_> Slow humans can do it quickly
08:54:53 <lereah_> I can't learn fast
08:54:55 <iEhird> iPhone sry
08:54:58 <iEhird> also
08:55:00 <lereah_> k
08:55:16 <iEhird> download unrars sorce
08:55:20 <lereah_> I did
08:55:21 <iEhird> untar
08:55:25 <lereah_> Also did
08:55:28 <lereah_> I tried compiling it
08:55:30 <lereah_> No dice
08:55:44 <iEhird> use a binary of the non free ubrar
08:55:52 <iEhird> same place as windows one
08:56:05 <iEhird> unrar
08:58:24 <lereah_> Well, I'm in the folder of winrar for linux
08:58:34 <lereah_> I tried typing "unrar" into it, it says "command not found"
08:58:37 <iEhird> ./ninaryname
08:58:41 <iEhird> binary
08:58:58 <lereah_> nanpc319.in2p3.fr>./unrar
08:58:58 <lereah_> ./unrar: /lib/tls/libc.so.6: version `GLIBC_2.4' not found (required by ./unrar)./unrar: /lib/tls/libc.so.6: version `GLIBC_2.7' not found (required by ./unrar)
08:58:58 <iEhird> needs ./ for current did
08:59:09 <iEhird> oh duck
08:59:13 <lereah_> See?
08:59:15 <iEhird> fuck
08:59:17 <lereah_> That's why I ask
08:59:18 <iEhird> ok
08:59:22 <iEhird> compile it
08:59:28 <iEhird> show errr
08:59:32 <iEhird> error
09:00:01 <lereah_> It would be hard, since there's hundred of them
09:00:15 <iEhird> use pastevin
09:00:19 <iEhird> bin
09:00:38 <lereah_> But not everything stays on the terminal
09:00:46 <iEhird> page up
09:00:51 <iEhird> start select
09:00:54 <iEhird> pgdn
09:00:59 <iEhird> release
09:01:04 <lereah_> Looks like this for first lines :
09:01:06 -!- lereah_ has left (?).
09:01:08 -!- lereah_ has joined.
09:01:11 <iEhird> middle click to paste
09:01:15 <lereah_> gcc unrar.c
09:01:15 <lereah_> In file included from const.h:2,
09:01:15 <lereah_> from unrar.c:1:
09:01:15 <lereah_> compress.h:5: error: syntax error before '*' token
09:01:15 <lereah_> In file included from unrar.c:1:
09:01:16 <lereah_> const.h:104: error: syntax error before "UBYTE"
09:01:22 <lereah_> const.h:104: warning: no semicolon at end of struct or union
09:01:24 <lereah_> const.h:110: error: syntax error before "UBYTE"
09:01:31 <lereah_> Shit like that for hundred of lines
09:01:36 <iEhird> complain to the sysadmins
09:01:49 <lereah_> Or ask a dude to unrar it
09:01:54 <iEhird> their system is old and broken
09:01:59 <iEhird> :p
09:02:22 -!- tombom has joined.
09:02:29 <lereah_> So is your face
09:02:41 <iEhird> touché
09:04:18 -!- MigoMipo has quit (Nick collision from services.).
09:04:47 -!- MigoMipo has joined.
09:05:56 -!- psygnisfive has quit (Read error: 60 (Operation timed out)).
09:06:30 <lereah_> http://www.unzip.co.nr/
09:06:37 <lereah_> Oh internet, why didn't I think of you?
09:06:43 <lereah_> You've always been so good to me
09:06:58 <iEhird> lal
09:07:55 <iEhird> GregorR: when the asceeen is on does It constantly block vision?
09:08:10 <iEhird> I know I'd doesn't when odd
09:08:14 <iEhird> off
09:08:17 <iEhird> it
09:09:16 -!- psygnisfive has joined.
09:09:55 -!- jix has joined.
09:12:30 -!- iEhird has quit.
09:13:42 -!- iEhird has joined.
09:19:59 <iEhird> GregorR: pingy
09:21:07 -!- jix_ has quit (Read error: 110 (Connection timed out)).
09:24:59 <iEhird> GregorR :|
09:25:06 <iEhird> :p
09:27:37 -!- myndzi\ has joined.
09:30:38 <iEhird> GregorR
09:35:52 -!- myndzi has quit (Read error: 110 (Connection timed out)).
09:36:25 -!- coppro has quit (Read error: 110 (Connection timed out)).
09:37:27 -!- iEhird has quit.
09:37:47 -!- iEhird has joined.
09:41:26 -!- iEhird has quit (Client Quit).
09:43:13 -!- BeholdMyGlory has joined.
10:44:43 -!- ChanServ has set channel mode: +o fizzie.
10:45:01 <fizzie> The PURGE is over, and things seem to be working, so I might as well...
10:45:05 -!- fizzie has set channel mode: -o fizzie.
10:46:30 -!- KingOfKarlsruhe has joined.
10:54:35 <tombom> huh
11:12:47 <ehird> halo.
11:12:56 <ehird> I has proper computer now.
11:13:03 <ehird> not wearable, though.
11:13:23 <psygnisfive> well
11:13:27 <psygnisfive> one would hope you'd have a computer then.
11:13:49 <psygnisfive> otherwise how would you be getting onto irc!
11:13:50 -!- MigoMipo has quit ("QuitIRCServerException: MigoMipo disconnected from IRC Server").
11:13:55 <psygnisfive> omg are you a witch? :o
11:14:09 -!- MigoMipo has joined.
11:15:11 <ehird> psygnisfive: non-proper computer = i fone
11:15:24 <psygnisfive> still a computer, but ok.
11:15:28 <ehird> more like I PHONY KEYBOARD
11:18:44 <psygnisfive> man
11:18:51 <psygnisfive> i wish the iphone were a global :|
11:19:23 <ehird> psygnisfive: what
11:19:29 <psygnisfive> its a device from EFC
11:19:51 <psygnisfive> essentially, its like an iphone, only with a screen twice as large as the iphone itself
11:20:06 <psygnisfive> but made pocket-sized by having the screen be foldable
11:20:12 -!- GreaseMonkey has quit ("YES -> thor-ainor.it <- THIS IS *DELICIOUS*!").
11:20:13 <psygnisfive> so it collapses to hide the screen.
11:20:18 <ehird> psygnisfive: "iphone were a global"?
11:20:20 <ehird> what the fuck does that mean
11:20:32 <ehird> oh wait
11:20:37 <ehird> "efc global"?
11:20:38 <ehird> maybe?
11:20:44 <psygnisfive> all
11:20:44 <ehird> i don't knoowwww google shows nothing
11:20:47 <ehird> ???
11:20:52 <psygnisfive> in EFC they have these devices called globals
11:21:33 <psygnisfive> essentially theyre satellite mobile phones (hence "global", used like you brits use "mobile")
11:22:21 <psygnisfive> anyway, the basic design is just this massive rollout touch screen with a camera on the side facing you so you can video chat
11:22:25 <ehird> psygnisfive: expand EFC.
11:22:31 <psygnisfive> Earth: Final Conflict
11:22:32 <ehird> some fictional reality?
11:22:34 <ehird> right
11:22:51 <ehird> psygnisfive: and my response to that comes in a very simple form
11:22:53 <psygnisfive> ehird, can i just say, your ability to command requests for clarification is beautiful, compared to a conversation i just had.
11:22:55 <psygnisfive> I love you for it.
11:22:56 <ehird> psygnisfive: FUCK. TOUCHSCREENS. FOR. TYPING.
11:23:02 <ehird> and you're welcome.
11:23:10 <ehird> please note that those capitals and profanity were directed at the idea, not you.
11:23:11 <psygnisfive> "expand EFC" trivially explains what you need in a clear and concise manner <3
11:23:22 <ehird> expand goatse anus
11:23:38 <psygnisfive> OM NOM NOM
11:23:42 <psygnisfive> er.. i mean...
11:23:59 <psygnisfive> i dont really mind virtual keyboards, really
11:24:14 <ehird> shit, I deluded myself into thinking the iphone's keyboard is fine
11:24:16 <psygnisfive> then again, on efc, you never need keyboards except for private data entry
11:24:23 <ehird> but honestly, the shittiest membrane keyboard is infinitely more comfortable to use
11:24:40 <psygnisfive> since like, you can do voice control on your globals and stuff
11:24:40 <ehird> psygnisfive: in sci-fi land, an in-brain system is of course the only option :)
11:24:47 <ehird> ugh
11:24:49 <ehird> voice control is so slow
11:24:53 <ehird> I can type faster than I talk
11:24:55 <ehird> and more accurately
11:24:58 <psygnisfive> no you cant :P
11:25:05 <ehird> i'm a lot more eloquent in typing than speaking
11:25:09 <ehird> psygnisfive: ok, matched speed
11:25:16 <ehird> (I don't normally bother, though)
11:25:16 <psygnisfive> sure, more eloquent
11:25:22 <psygnisfive> but talking is significantly faster than typing
11:25:28 <psygnisfive> regardless of who's typing
11:25:31 <ehird> psygnisfive: anyway, the delays while I think when talking makes it not more productive when typing
11:25:43 <psygnisfive> perhaps
11:25:49 <psygnisfive> i mean, it depends on what you're typing, obviously
11:26:02 <psygnisfive> and who's doing the typing.
11:26:03 <ehird> yeah
11:26:11 <psygnisfive> generally my speech and typing are nearly identical
11:26:21 <ehird> i speak too fast for my brain
11:26:25 <psygnisfive> but thats only because im in the habit of talking a particular way
11:26:35 <ehird> the cpu usage of speaking combined with my speed means that i get all lagged up
11:26:56 <psygnisfive> mid atlantic speech in the US here is apparently between 120 to 140 wpm
11:27:03 <psygnisfive> new york is apparently higher
11:27:08 <psygnisfive> and im from new york. lol
11:27:44 <psygnisfive> wow
11:27:51 <ehird> psygnisfive: as contrast take david lynch
11:27:51 <ehird> http://www.youtube.com/watch?v=wKiIroiCvZ0
11:27:52 <psygnisfive> apparently those figures are way low
11:27:54 <fizzie> There are three people in this office-room; I'm not quite sure I'd like it if they'd replace our keyboards with some sort of voice-recognition thing. (Speaking TeX doesn't sound very pleasant anyhow.)
11:27:57 <ehird> slooooooooooooooooooooooooooow taaaaaaaaaaallllllllkkkkkkkkk
11:28:08 <psygnisfive> and we get on average 175 or so wpm
11:28:42 <psygnisfive> haha
11:28:47 <psygnisfive> on your FFFFUCKING telephone
11:28:48 <psygnisfive> get real
11:28:52 <ehird> i know
11:28:54 <ehird> i love that line
11:28:55 <psygnisfive> theres point to slow speech
11:28:57 <ehird> it's delivered so perfectly
11:29:07 <psygnisfive> but in general, conversations dont require it
11:29:17 <psygnisfive> nor do, say, text message inputs.
11:29:30 <Deewiant> fizzie: That reminded me of http://www.youtube.com/watch?v=KyLqUf4cdwc
11:29:34 <psygnisfive> fizzie: notice i didnt say you would replace your keyboard
11:29:39 <psygnisfive> just that you could do a lot without it
11:29:51 <psygnisfive> and that if you needed it you'd have a virtual keyboard
11:30:50 <psygnisfive> david lynch is really rather candid, isnt he!
11:30:56 <psygnisfive> i like this guy
11:31:17 <ehird> i'd like it more if he didn't advocate indoctrinating kids into a cult
11:31:25 <ehird> but apart from that, yeah, lynch is cool.
11:31:34 <psygnisfive> which what?
11:31:47 <ehird> psygnisfive: restate :P
11:32:00 <psygnisfive> indoctrinating kids into what cult
11:32:34 <ehird> http://en.wikipedia.org/wiki/Transcendental_Meditation; http://www.davidlynchfoundation.org/; http://www.skepdic.com/tm.html; http://www.suggestibility.org/
11:32:59 <psygnisfive> oh
11:33:05 <psygnisfive> does he promote that uniformly for children?
11:33:17 <ehird> psygnisfive: yes, see http://www.davidlynchfoundation.org/
11:33:19 <fizzie> Wow, "Lynch is working for the building and establishment of seven buildings, in which 8,000, salaried people will practice advanced meditation techniques, 'pumping peace for the world.' He estimates the cost at $7 billion."
11:33:29 <ehird> he promotes along with other tm advocates adding it to school curricula
11:33:31 <ehird> fizzie: yep
11:33:37 <psygnisfive> ah. well, i suppose it depends on the kinds of stuff you're doing ofcourse
11:33:38 <psygnisfive> i mean
11:33:45 <psygnisfive> meditation, in general, is not cultish
11:33:48 <ehird> john hagelin, quantum physics professor who appeared in such bullshit "quantum mysticism" films as "what the bleep do we know"
11:33:52 <ehird> also supports TM
11:33:52 <psygnisfive> its just a mind focusing technique
11:33:57 <ehird> and works for the maharishi university of management
11:33:59 <psygnisfive> Support Turing MAchines!
11:34:00 <ehird> which was founded by the TM founder
11:34:02 <ehird> and practices it daily
11:34:11 <ehird> basically
11:34:18 <ehird> they think that if enough people practice TM in an era
11:34:20 <ehird> that place becomes peaceful
11:34:23 <ehird> via quantums or something
11:34:26 <psygnisfive> but meditation as some sort of magical panacea
11:34:30 <psygnisfive> is cultish.
11:34:35 <ehird> psygnisfive: meditation is fine; TM is a cult and its meditation technique is suspect
11:35:08 <ehird> psygnisfive: also, you totally can't learn it from anyone but a guru practitioner taught in the official TM lineage.
11:35:20 <ehird> psygnisfive: because you get a TOTALLY UNIQUE PERSONAL MANTRA (that the guru gives to everyone else too, but don't tell anyone!)
11:35:35 <psygnisfive> sure, i guess
11:35:38 <ehird> psygnisfive: so pony up the thousands of dollars.
11:35:47 <ehird> psygnisfive: oh, and the TM-Siddhi program can teach you to levitate and then fly.
11:35:49 <psygnisfive> but aside from that, the technique seems to be just normal forms of yoga
11:35:56 <ehird> No; really. (They don't claim this officially after a shitstorm of bad publicity.)
11:36:07 <psygnisfive> right no, im sure
11:36:23 <psygnisfive> but the techniques of meditation themselves dont seem to be uniquely TM
11:36:23 <ehird> psygnisfive: there's some evidence to suggest it might have negative physiological effects
11:36:28 <ehird> but nothing concrete
11:36:29 <ehird> but yeah
11:37:25 <ehird> lynch's films are kickass though
11:38:18 <psygnisfive> im not seeing any films ive seen
11:38:19 <psygnisfive> except dune
11:38:21 <psygnisfive> which was meh.
11:38:27 <ehird> psygnisfive: he hates dune
11:38:31 <psygnisfive> hahaha
11:38:47 <psygnisfive> figures dunnit
11:38:55 <ehird> the two trailers for Inland Empire really creep me out
11:38:59 <ehird> http://www.youtube.com/watch?v=_DlYCvxvPZY
11:39:00 <psygnisfive> ive heard lots of praise for lynch, but none of his works look good
11:39:13 <psygnisfive> then again i have very peculiar tastes
11:39:15 <ehird> http://www.youtube.com/watch?v=_DOty7PLWg0&feature=related
11:39:49 <ehird> psygnisfive: i just like the general genre
11:40:02 <ehird> plot's never been a particularly main aesthetic concern of mine
11:40:28 -!- FireyFly has joined.
11:40:38 <psygnisfive> what genre is he?
11:41:01 <ehird> psygnisfive: oh don't hit me with the hard questions
11:41:09 <psygnisfive> :P
11:41:21 <ehird> it's just the general focus on creating an ambient mood through the cinematography and sort of provoking it by the same
11:41:32 <ehird> as opposed to doing that via comprehendible plot points etc
11:41:36 <psygnisfive> hm. i see.
11:41:43 <psygnisfive> wiki explains something of it.
11:41:55 <psygnisfive> dunno if i like it.
11:42:08 <psygnisfive> but i do have to say, soderbergh does generally wonderful work
11:42:35 <psygnisfive> ofcourse, im judging by solaris.
11:42:43 <psygnisfive> and traffic
11:44:54 -!- FireyFly has changed nick to FireFly.
11:44:57 <ehird> psygnisfive: http://www.youtube.com/watch?v=z7baCckh-XE&NR=1 ← Trailer to David Lynch's... A Goofy Movie.
11:44:58 <ehird> lolwat
11:45:33 <psygnisfive> ok :P
12:15:20 <ehird> psygnisfive: should I buy a http://en.wikipedia.org/wiki/FrogPad for my wearable computer?
12:15:28 <ehird> dunno how comfortable typing would be
12:15:32 <ehird> would prefer a split version
12:15:46 <psygnisfive> ok
12:15:53 <psygnisfive> get a split version them
12:15:54 <ehird> psygnisfive: ANSAR MY QESTANS
12:15:57 <ehird> psygnisfive: how
12:15:57 <psygnisfive> im off to bed :P
12:15:58 <ehird> they don't exist
12:16:05 <psygnisfive> ok then get that one
12:16:06 <psygnisfive> night
12:16:07 <ehird> KESTANS
12:20:58 <ehird> GregorR: what kb do you use in your wb?
12:26:47 <fizzie> Deewiant: Speaking of your U-tube link, you probably made the other occupants of the room question my sanity (due to badly stifled laughter). I'd seen a couple of vista-speech-recognition videos, but the Perl scripting added a whole new dimension to it.
12:29:52 -!- Judofyr has joined.
12:33:04 <ehird> i misread something as "what methods of urination do i have?"
12:33:16 <ehird> (in a list of "wikianswers" thing, like wiki yahoo answers by wikia. bloody wikia sidebar spam)
12:35:41 -!- kar8nga has quit (Remote closed the connection).
12:36:05 <Deewiant> fizzie: Watching U-tube at work? Good choice of video then :-D
12:36:26 <ehird> anyone remember that actual company/site named utube who did tubing stuff?
12:36:29 <fizzie> Deewiant: Hey, I do speech recognition research here. :p
12:36:31 <ehird> they put ads for a youtube-ripoff service on the top
12:36:36 <ehird> big 'uns
12:37:08 <Deewiant> fizzie: Ah, even better :-D
12:37:09 <ehird> http://www.utube.com/ seems they've sold out the entire domain and outsourced their own content to another
12:37:15 <ehird> and even theirs has a search bar for the spammy one
12:37:35 <ehird> fizzie: how does speech recog work apart from "here's a shitload of samples, let's hope it fits somewhat?" :p
12:39:17 <fizzie> ehird: Er, I'm probably not the best person to summarize the whole field. Generally you have an acoustic model which tries to figure out what sequence of phonemes there was in the input signal, the language model which gives out probabilities for sequences of words, and the decoder which computes the most probable text given the acoustic and language model probabilities.
12:39:31 <ehird> fizzie: So, basically what I said.
12:41:48 <fizzie> Well... I guess. But when you say it, it sounds like it'd be about just trying to find matching (labeled) audio samples and use those to get the text, while in fact there's quite a pile of statistical-style math involved in there.
12:42:28 <ehird> fizzie: It's basically you have a load of samples and some munging to get some semi-plausible phonemes out.
12:42:38 <ehird> And then you use a bunch of samples and some munging to recognize those phonemes
12:42:39 <ehird> .
12:42:43 <ehird> So with one level of indirection...
12:43:14 <fizzie> You just make it sound too trivial. :p
12:45:22 <ehird> fizzie: Truth hurts.
12:45:31 <ehird> :P
12:50:30 <fizzie> No, really, it's not all that simple if you want something that works in different environments, for different speakers than the models were trained for, and efficiently in both the "good results" and the "not too much computation" sense.
12:50:59 <ehird> fizzie: THAT'S LIKE SAYING GASSING JEWS ISN'T SIMPLE.
12:51:01 <ehird> ARE YOU A NAZI?
12:51:36 <fizzie> I have to say I wouldn't want to be responsible for a Jew-gassing project either. All the logistics!
12:52:56 <ehird> fizzie: you are demeaning the holocaust
12:53:22 -!- ais523 has joined.
12:55:02 <fizzie> Wouldn't "de-meaning" be a good thing? 't'd make it less mean.
12:56:09 <lereah_> "Now available: "Lambda-calculus, Combinators and Functional Programming (Cambridge Tracts in Theoretical Computer Science)" by G. E. Revesz on Amazon.com"
12:56:11 <lereah_> ooooh
12:56:12 <lereah_> I like it
12:56:28 <ehird> fizzie: NAZICAUST
13:01:10 -!- atrapado has quit ("Abandonando").
13:07:01 <ehird> ATHEISTS ARE MADE OF FUDGE CAKE
13:09:18 <ais523> ehird: what does the topic reference?
13:09:27 <ais523> fizzie: actually, demeaning removes a meaning from something
13:09:28 <ehird> ais523: what, "No."?
13:09:34 <ais523> so you can use it to make ambiguous things less ambiguous
13:09:34 <ehird> also, he knows what it means
13:09:36 <ais523> ehird: yes
13:09:37 <ehird> 'tis called a pun
13:09:42 <ehird> oh, you were adding another pun
13:09:46 <ehird> ais523: i don't recall "no"
13:09:51 <ehird> how should I no?
13:09:55 <ais523> ok
13:09:55 <ais523> it's a pretty generic answer
13:09:59 <ais523> which fits a range of questions
13:10:01 <ehird> i didn't set it
13:10:05 <ais523> and fair enough
13:10:10 <ais523> I was wondering what your theories were
13:10:18 <ais523> and thought you might know, but also thought you might not
13:10:38 <fizzie> If demeaning has that many meanings, it ought to be demeaned then.
13:10:44 <ehird> ais523: it is the answer to the question "does the topic have any meaning?"
13:10:47 <ehird> very deep
13:11:34 <ehird> "In the early PDP-11 days, Unix programs had the following design parameters:
13:11:34 <ehird> Rule 1. It didn’t have to be good, or even correct,
13:11:35 <ehird> but:
13:11:37 <ehird> Rule 2. It had to be small.
13:11:39 <ehird> Thus the toolkit approach, and so forth. Of course, over time, computer hardware has become progressively more powerful: processors speed up, address spaces move from 16 to 32 bits, memory gets cheaper, and so forth.
13:11:42 <ehird> So Rule 2 has been relaxed."
13:13:19 <ehird> ais523: http://upload.wikimedia.org/wikipedia/commons/c/c3/Frogpad_keyboard.jpg should I buy this for my wearable computer project
13:14:07 <ais523> how much does that cost?
13:14:11 <ais523> and is it at all easy to type on, I wonder?
13:14:22 <ehird> ais523: it costs sth like $100
13:14:33 <ehird> ais523: imperceptible compared to the cost of the head mounted display
13:14:42 <ehird> and...
13:14:50 <ehird> ais523: http://www.youtube.com/watch?v=QSDMahnw0_g; can Gnash play youtube videos?
13:14:57 <ehird> it seems quite comfortable
13:15:56 <ais523> ehird: Gnash plays swf, not flv
13:16:02 <ais523> I can play flvs with ffmpeg
13:16:04 <ais523> but have to download them first
13:16:11 <ehird> ais523: well, do that, then
13:16:18 <ehird> ais523: there're scripts to automate it
13:16:27 -!- lereah_ has quit ("Leaving").
13:19:04 <ehird> ais523: watchde it?
13:19:05 <ehird> *watched
13:20:52 <ais523> no, I don't intend to
13:20:57 <ais523> it's hard enough to even get me to see websites
13:21:22 <ehird> ais523: you asked if it was easy at all to type on, so I gave you a link demonstrating — which is the only way you can have any sort of hope of conveying what the typing experience is
13:21:26 <ehird> what's your fixation with text?
13:21:38 <ais523> couldn't you just have said "yes"?
13:22:05 <ehird> ais523: I have not passed judgment because it is inherently subjective
13:22:17 <ehird> I said from the looks of one youtube video, it seems like it would be OK for me
13:22:21 <ehird> which is nothing close to what you asked
13:22:29 <ais523> ok
13:25:43 * ehird reads a comment where someone is confused about how a non-carrier-locked-down mobile phone would work
13:25:46 * ehird weeps for humanity
13:26:19 <ais523> I imagine some people are confused about how mobile phones work, carrier-locked or non-carrier-locked
13:26:57 <ehird> ais523: this is by a mobile-phone-using, "TechCrunch" sort of person
13:27:02 <ehird> which I suppose tend not to be too intelligent
13:27:04 <ehird> but seriously‽
13:27:19 <ehird> cool you can install google android on a regular pc
13:27:23 <ais523> You keep using that punctuation mark. I do not think it means what you think it means
13:27:36 <ais523> ehird: apparently the Ubuntu people are writing/have already written an Android compatibility layer
13:27:42 <ehird> ais523: weird
13:27:46 <ehird> ais523: also, "but seriously?!"
13:27:48 <ais523> so that you can run Android apps on Ubuntu
13:27:50 <ehird> what's wrong with that?
13:27:58 <ehird> ais523: but that's a huge UI mismatch!
13:28:01 <ais523> and presumably the other distros will copy it
13:28:08 <ais523> and yes, but WINE's a huge UI mismatch too
13:28:12 <fizzie> I am confused about this carrier-locking business; from what I've heard, the iPhone in Finland is only available somehow in cahoots with one operator, but that sounds so strange.
13:28:35 <ehird> fizzie: you're luck
13:28:35 <ehird> y
13:28:43 <ais523> fizzie: that's it, they're programmed so that they can only be connected to one operator's signals
13:28:43 <ehird> fizzie: almost every phone in the uk and i think the us is carrier locked
13:28:53 <ehird> certainly all the ones that are featured in any advert, etc
13:28:56 <ais523> as in, they're theoretically capable of picking up other signals, but there are software barriers to it
13:29:00 <ehird> (as opposed to cheap nokias and whatnot)
13:29:20 <ehird> ais523: but he thinks it's strange. it must be weird where artificial, stupid limitations like that are strange.
13:29:23 <ehird> weird and awesome.
13:29:57 * ehird sees someone call Android a real-time OS, goes WTF.
13:30:08 <ais523> you never know, it could be
13:30:12 <fizzie> I'm not sure if it's officially "strange" any more, it's just that I haven't been following the phone situation since my last phone-switch, maybe some five or so years ago.
13:30:13 <ais523> although it does seem rather unlikely
13:30:18 <ehird> ais523: it's based on the Linux kernel and is open source
13:30:26 <ehird> i can state with absolute certainty that it is not.
13:30:43 <ais523> ehird: Google might have based it on the realtime version for some weird reason of their own, for all I know
13:30:49 <ais523> although it still wouldn't be as realtime as a really realtime OS
13:31:29 <ehird> "Firefox 3.5 is two times faster than 3.0. And ten times faster than 2.0. *"
13:31:34 <ehird> now that's a bold claim...
13:32:20 <ais523> who made it? Mozilla or someone else?
13:32:51 <ais523> on the other hand, IE has over twice the version number, so it must be faster still
13:33:13 <ehird> ais523: mozilla. "* Based on the results of a SunSpider test of JavaScript performance on a Windows XP machine. "
13:33:33 * ehird drags firefox over, fixes hideous os x icon
13:33:56 <ehird> hm they may have fixed it
13:34:15 <ehird> yep
13:34:30 <ehird> ahh, we still get totally retarded focus boxes on everything
13:34:32 <ehird> nice, nice
13:35:04 <ehird> hey, they fixed buttons
13:35:06 <ehird> now they're the proper size.
13:35:18 <ehird> form fields still butt up against each other though.
13:36:20 <ehird> also, the scrollbars are still wrong.
13:36:21 <fizzie> When the whole "3G" data thing started, the operators started quite noisily selling phones as a part of the monthly cost of the connection; I'd assume those might be more often somehow sim-locked. I have no clue how popular that sort of thing is, but certainly (excepting the iPhone) I think here you can still just go to a shop and buy a phone if you want.
13:36:28 <ehird> fizzie: All are.
13:36:40 <ehird> It is simply the done thing.
13:36:55 <ehird> i wonder when mozcorp will realize that using anything other than the actual cocoa libraries on OS X will never integrate, ever
13:37:36 <ehird> font rendering still sucks
13:38:55 <ehird> still feels sluggish.
13:39:29 <ais523> over here in the UK, they're now trying to sell laptops as part of the cost of the connection
13:39:38 <fizzie> In my operator's price list there's a newspost (dated March 6th) saying [my translation] "the phone may be network-locked, in which case you may only use it with a SIM card from an operator which uses carrier X's network, such as X, Y or Z".
13:39:40 <ais523> you get a free laptop but have to pay for really expensive mobile internet
13:39:43 <ais523> IMO, it's ridiculous
13:40:02 <ehird> ais523: carphone warehouse aka aol mostly do that
13:40:06 <fizzie> Oh yes, they're selling those "net-tops" as part of "mobile broadband" connections here too. I've seen ads in a bus.
13:40:12 <ais523> PC World do, too
13:40:29 <ehird> fizzie: netbooks haven't caught on here yet
13:40:39 <ehird> we get gigantic 17" core 2 duo affairs with "3GBZ OF RAM!!1111"
13:40:44 <ehird> eleventy1sin(0)1.
13:40:57 <ehird> the worst abuse of the term "laptop" ever conceived.
13:41:31 <ehird> it is time, then, to give the opera 10 beta a go.
13:41:39 <ehird> since I'm trying ff 3.5 b... prerelease? dunno.
13:41:41 <fizzie> There seem to be even operator-branded laptops. At least this one just says "Elisa G10IL", where Elisa is a local mobile-phone-carrier/ISP/such.
13:41:46 <ehird> beta 4.
13:42:04 <ehird> The ECS G10IL is a netbook computer designed by ECS. Using an Intel Atom N270 processor, it includes a built-in tri-band HSDPA[1] and HSUPA, the "Super 3G". The notebook will be available with Linux and Windows XP.[2]
13:42:12 <ehird> fizzie: just rebranded.
13:42:17 <ehird> Other versions are:
13:42:19 <ehird> # Elisa Miniläppäri - Finland
13:42:28 <fizzie> Right, it's that.
13:42:31 <ehird> [[Ever felt a Web site was loading slowly? Do you think it will happen again? Think again: Opera Turbo is a compression technology that provides significant improvements in browsing speeds over limited-bandwidth connections like a crowded Wi-Fi in a cafe or browsing through your mobile phone while commuting. Tell us how you reacted first time you tried compressed browsing!]]
13:42:33 <ehird> What they actually mean:
13:42:42 <ehird> All your traffic are belong to Opera Software's routers.
13:42:48 <ehird> You have no chance to privacy make your time.
13:43:23 -!- MigoMipo_ has joined.
13:43:37 <FireFly> They actually suggest you to turn it off when you're on a faster network again
13:43:53 <FireFly> As well as every time you start the browser with turbo enabled
13:44:47 <ehird> first impressions:
13:44:51 <ehird> omg new default skin is fugly.
13:45:01 <ehird> ... it looks just like qt. yeah opera is qt but it's never looked q.
13:45:03 <ehird> qt
13:45:05 <ehird> it's obviously not qt though
13:45:08 <ehird> but it looks very qt4
13:45:20 <fizzie> If someone wants a comparative price point, that G10IL costs 360 eur if you actually want to buy and own it, or alternatively as a part of a mobile interwebs it's: 384kbps -> 25 eur/month, ..., 5Mbps -> 50 eur/month; with the other speeds (512k, 1M, 2M) there in the middle.
13:45:39 <ehird> 5mbps mobile?
13:45:41 <ehird> that's pretty good.
13:45:42 <FireFly> [14:44:51] <ehird> omg new default skin is fugly. <-- Agreed, sadly enough
13:45:49 <ehird> when will we get 100mbits wireless?
13:46:04 <ehird> FireFly: well the old one was fugly too; Opera's only ever looked good on windows
13:46:10 <ehird> and that's with tweaking
13:46:15 <FireFly> The old one at least looked better
13:46:18 <FireFly> To me
13:46:23 <ehird> For the benefit of others: http://imgur.com/0KzKj.png
13:46:36 <ehird> FireFly: i just wish they'd use bloody native widgets
13:46:42 <ehird> it takes more time to fake the look of native ones!
13:46:48 <fizzie> It probably won't work as 5Mbps in very many places. I think there was some sort of HDSPA maximum-speed of 3.6 Mbps even in some of the "fast" places.
13:46:57 <FireFly> Yeah, would've been nicer
13:47:06 <fizzie> "Nearly 40 HSDPA networks support 3.6 Mbit/s peak downlink data throughput. A growing number are delivering 7.2 Mbit/s peak data downlink, leveraging new higher-speed devices coming into the market."
13:47:08 <ehird> fizzie: well i want 100mbit symmetrical wireless so foo you.
13:47:19 <ehird> FireFly: the preferences dialog just has a close button
13:47:22 <ehird> no minimize or expand
13:47:29 <ehird> NO OTHER OS X WINDOW DOES THAT!
13:48:00 <ehird> why is opera said to be fast
13:48:05 <ehird> it doesn't seem fast to me
13:48:22 -!- MigoMipo has quit (Read error: 110 (Connection timed out)).
13:49:42 <fizzie> If I read that price list right, it's a fixed +15eur/month to get that "free" laptop; the normal prices for those broadband connections with no device included are all that much cheaper.
13:51:15 * ehird turns off mouse gestures because they're fucking retarded
13:53:02 <fizzie> So you spend more than the 360 eur "just buy it" price if you keep the thing with more than 24 months. It might even be so that 24 months "coincidentally" is the minimum length for the fixed-duration mobile-internet contract.
13:53:15 <ehird> you know what?
13:53:17 <ehird> fuck browsers
13:53:18 <ehird> they all suck
13:53:30 <ehird> i'm gonna get my linux box and write a unixy webkit/gtk affair with a decent ui.
13:53:34 <ehird> NOBODY'S SAID THAT BEFORE!
13:54:16 <ehird> Ahh, a site broken in Opera that isn't broken in any other browser.
13:54:25 <ehird> IT'S THE SITE'S FAULT!!!!111123612786378123ELEVENTYFOURSIN(3578234)
13:54:28 <ais523> is it one of Microsoft's?
13:54:38 <ehird> ais523: no, it's an online article from the Guardian
13:54:50 <ehird> two of the little icon images have been blown up to ginormous size
13:54:51 <ais523> there was a problem a while back where Microsoft had workarounds for a bug in an old version of Opera
13:54:55 <ehird> one is stretched wide rightward
13:54:56 <ehird> s
13:54:56 <fizzie> I wonder if they're still developing Dillo. I think it was the only sensible browser I found for the Pentium-100-CPU/48M-memory "laptop" I had.
13:55:02 <ais523> Opera fixed the bug, but Microsoft forgot to remove the workarounds
13:55:07 <ais523> causing the page to misrender in Opera but nothing else
13:55:11 <ehird> fizzie: I think it's as developed as anything that dull and old-fashioned is
13:56:02 <fizzie> 14-Oct-2008 they've released a "2.0" version, but not much news after that.
13:56:06 <ehird> fizzie: they seem to have redesigned their site: http://dillo.org
13:56:06 <ehird> yeah
13:56:34 <fizzie> It's... very blue now.
13:56:38 <ehird> Yes.
13:56:45 <ehird> My blue cones are dying already
13:57:03 <ehird> fizzie: http://www.dillo.org/screenshots/fltk.css.png a screenshot from feb
13:57:07 <ehird> dig that window manager
13:58:06 <fizzie> Heh, it runs on the Openmoko thing.
14:01:31 * ehird tries Opera's VISUAL TAB PREVIEW thing that OmniWeb has had for yonks, concludes it's a gimmick.
14:01:35 <ehird> Maybe it'll work side-mounted.
14:02:03 <ehird> When mounted to the side it refuses to display thumbnails.
14:02:06 <ehird> Brilliant.
14:03:12 <fizzie> For some reason the Opera users I've met come across as more fanatic than, say, Firefox users.
14:03:44 <ehird> fizzie: Yes, almost all Opera users are rabid fanboys.
14:03:50 <ehird> Also almost all windows users.
14:03:55 <ehird> Almost all Windows XP users too.
14:04:00 <ehird> And they always seem to use the Windows Classic theme.
14:04:09 <ehird> The Opera user is a very specific type of person.
14:04:12 <ais523> hey, I use the Windows Classic theme when I'm on Windows
14:04:16 <ehird> He carries around 10 toolbars and a few on the side.
14:04:21 <ehird> it's homely, I guess.
14:04:24 <ehird> ais523: nothing wrong with that
14:04:27 <ehird> I'm just stating truth
14:04:46 <ehird> http://vimeo.com/3635423 ← I'm having trouble believing this is from MS, it's so great
14:04:58 <ehird> oh wait
14:05:02 <ehird> MS didn't make it
14:05:04 <ehird> it just uses MS surface
14:05:05 <ehird> still
14:05:10 <ehird> ms surface is neat too
14:10:57 <ehird> aah i want my wearable
14:14:45 <ais523> ehird: is there any way to get in touch with a particular reddit user?
14:14:56 <ais523> there's a reddit comment where someone claims to have written an INTERCAL interp in .NET
14:15:00 <ehird> ais523: yes, send them a message
14:15:04 <ais523> which implies that it's an INTERCAL implementation we don't know of
14:15:10 <ehird> ais523: this involves enabling SPOOKY JAVASCRIPT to register and login :P
14:15:19 <ais523> http://www.reddit.com/r/programming/comments/8rcb4/comefrom_statement_considered_awesome/c0a77g9
14:15:21 <ehird> ais523: but it's likely just a shitty one-day project that gets everything wrong...
14:15:29 <ais523> even so
14:15:35 <ais523> C-INTERCAL 0.1 was written in a weekend
14:15:46 <ehird> ais523: and I'm sure 0.1 was absolute shit.
14:15:49 <ais523> well, yes
14:15:51 <ais523> it didn't even compile
14:16:00 <ehird> ais523: i can send a message on your behalf if you don't want to register/login.
14:16:05 <ais523> but technically speaking it was a major release
14:16:07 <ais523> and yes please
14:16:11 <ehird> well, it'd be on a.l.i or #esoteric's behalf, I guess
14:16:26 <ais523> probably a.l.i, nobody believes it still exists
14:16:29 <ais523> so it's more surprising
14:16:56 <ehird> i'll write it all formal-like so he's scared off.
14:17:08 <ais523> that's what I would do too
14:18:48 <ais523> heh, a working patch to Python to support goto and comefrom exists
14:19:38 <ehird> ais523: link?
14:19:58 <ais523> http://entrian.com/goto/
14:22:00 <ais523> I wonder what that does on multiple COME FROMs aiming at the same line
14:22:09 <ais523> somehow, I seriously doubt threading, but it would be great if it did
14:22:17 <ehird> ais523: Not a patch.
14:22:19 <ehird> It is just a module.
14:22:22 <ais523> ah, ok
14:22:27 <ehird> "goto .myLabel" = "goto.myLabel".
14:22:29 <ais523> even better, then
14:22:36 <ehird> "goto *x" = "goto * x"
14:22:40 <ehird> etc
14:22:47 <ais523> clever
14:22:57 <fizzie> Write it all 419-scammer style. "First I must solicit your strictest confidence in this transaction. I am writing as the account manager of the late alt.lang.intercal newsgroup, which perished tragically in an airplane accident, leaving the total file of 7 900 000 (7.9 million) COME FROM statements in our care. ..."
14:22:58 <ais523> how does it know how to comefrom forwards?
14:23:10 <ais523> fizzie: nah, I want a positive chance of a reply
14:24:19 <ais523> ah, according to Wikipedia, it works by hooking the debugger
14:25:52 <fizzie> Aw. You could even hook his interpreter into it somehow. "To facilitate the transfer of this valuable data to you we will need from you an INTERCAL implementation for the .NET programming environment. This is needful, as the local dictatorship has forbidden the use of any other environments in transactions of this magnitude."
14:26:23 <ehird> ais523: http://pastie.org/508423.txt?key=sgksbxymxnmbyrzwsseaqg
14:26:29 <ehird> proposed message, comments welcome
14:27:13 <ais523> looks good
14:27:19 <ais523> even if it's written in Agoran rather than English
14:27:24 <ais523> it's close enough to English that it's readable
14:27:42 <ehird> Sent'd.
14:27:49 <ais523> also, how do you know he has an OLED?
14:28:28 <ehird> ais523: It was crafted in such conditions, and that is all that is stated. Note that everything existing in the universe is necessarily organic.
14:28:37 <ais523> ah, ok
14:29:22 <fizzie> Anything's organic that you can make an organ out of.
14:29:33 <ehird> fizzie: BOTH KINDS
14:29:52 <ehird> i have not slept for a day
14:29:54 <ehird> laaaaaaaaaaaalalalalaa
14:30:20 <ais523> ehird: I seem to be sleeping once every two days atm, for ages
14:31:23 <ehird> ais523: i'm not particularly attuned to it; I tried to sleep yesterday, but just fiddled about on my iphone for an hour or two and got up since it was daylight.
14:31:40 <ehird> strangely, my body seems to be sleeping while I'm conscious, as I'm certainly getting more perky as time passes
14:31:48 <ais523> it can happen
14:32:01 <ais523> sort of lying down trying to sleep is worth about half as much as sleeping, as far as I can tell
14:32:09 <ais523> in terms of satisfying the body's need for sleep
14:32:47 <ehird> the tcl core team are cool
14:32:48 <ehird> http://groups.google.com/group/comp.lang.tcl/browse_thread/thread/99e2693293b3c945/f4932ae01d74f8e1#f4932ae01d74f8e1
14:32:52 <ehird> i like to think they do all announcements like that
14:32:53 <ehird> ehm
14:32:54 <ehird> http://groups.google.com/group/comp.lang.tcl/browse_thread/thread/99e2693293b3c945/f4932ae01d74f8e1
14:32:57 <ehird> in fact
14:32:58 <ehird> http://groups.google.com/group/comp.lang.tcl/browse_thread/thread/99e2693293b3c945
14:33:38 <ais523> wow, the TCL team write in Agoran too
14:35:33 <ehird> ais523: just for that announcement, and it's actually parliamentarian
14:35:41 <ehird> per later posts
14:35:42 <ais523> yes, http://www.robertsrules.org/
14:35:54 <ais523> that whole thing is like a nomic, but even more complicated
14:36:35 <ehird> "Adopt a line of source code
14:36:35 <ehird> for just $4 a month, and together
14:36:37 <ehird> we can keep Miro alive and growing!"
14:36:39 <ehird> I'm not even kidding.
14:36:46 <ehird> https://getmiro.com/adopt/adoptee/127/34322b/
14:36:49 <ehird> Aww, a class declaration line!
14:36:59 <ehird> How cu— WHAT A FUCKING SHIT LAYER OVER OPEN SOURCE DONATIONS.
14:37:03 -!- MigoMipo_ has changed nick to MigoMipo.
14:37:03 <ehird> God that's stupid.
14:37:27 <ais523> do you get informed by email whenever your adopted line is patched?
14:37:36 <ehird> hah
14:37:49 <ais523> or even better, executed
14:38:02 <ehird> ais523: "Your baby has been put on the guillotine!"
14:38:09 <ehird> "AND AGAIN OH THE MULTIPLE BABY-KILLING HORRORS"
14:38:27 <ehird> "IT HAPPENED AGAIN AREN'T YOU GOING TO *DO SOMETHING*? YOUR BABY IS REPEATEDLY BEING MURDERED!"
14:38:49 <ais523> even more fun: adopt the line that sends you an email whenever the line you've adopted is executed
14:39:19 <ehird> * ais523 is now known as xzibit
14:42:33 <ehird> ais523: alt.lang.intercal has got a lot of spam recently
14:42:47 <ais523> yep, Google's spam filters are slipping again
14:43:01 <ehird> i've got some spam in my gmail inbox lately
14:43:17 <ehird> only like 3 non-spams flagged as spam since 2006, though
14:43:21 <ehird> and they were all unimportant stuff
14:43:26 <ehird> well, apart from things where i check the spam folder anyway
14:43:32 <ehird> like registration confirmation emails
14:45:26 <ehird> ais523: does come from execute after or before the statement it comes from?
14:45:34 <ais523> after
14:45:48 <ais523> if the statement it comes from is a control statement, then the statement it's coming from does its control first
14:45:53 <ais523> and the comefrom catches the return
14:46:06 <ais523> e.g. if you comefrom a function call, the function's called, then the comefrom happens when the function returns
14:46:06 <ehird> ais523: DO COME FROM (2) DO READ OUT ‽1 (1) ‽1 ← "PLEASE GIVE UP" (2) DO READ OUT ‽1
14:46:18 <ehird> should print, if my brain is in order today:
14:46:29 <ehird> ‽1 ← "PLEASE GIVE UP"
14:46:31 <ehird> PLEASE GIVE UP
14:46:31 <ehird> PLEASE GIVE UP
14:46:35 <ehird> (end of program)
14:46:54 <ehird> (string syntax is too simple ofc; that's for later.)
14:55:01 <ehird> ais523: amirite?
14:57:10 <ais523> err, I'm too tired to think INTERCAL atm
14:57:25 <ais523> especially as I don't get how you're printing statements
14:57:45 <ehird> ais523: ITRALCEN strings & Say-What (interrobang) variables.
15:01:18 <ehird> ais523: no?
15:01:44 <ais523> ehird: please, don't make me try to think about yet another dialect of INTERCAL right now
15:01:52 <ehird> :p
15:03:47 <GregorR> Jun 11 04:07:55 <iEhird> GregorR: when the asceeen is on does It constantly block vision?
15:03:47 <GregorR> Jun 11 04:08:10 <iEhird> I know I'd doesn't when off
15:03:52 <GregorR> It doesn't /move/ when it's on.
15:03:53 <ehird> GregorR: i know it doesn't
15:03:58 <ehird> i watched your video thingy.
15:04:06 <ehird> GregorR: yes but when it's on the periscope... does shit.
15:04:14 <ehird> I'm not entirely sure how your setup actually works for looking at
15:04:46 <GregorR> Walk around with your hand about a foot in front of and to the right of one eye.
15:04:57 <ehird> stop using stupid measurements
15:05:03 <ehird> ok 30cm
15:05:12 <ehird> argh
15:05:15 <ehird> i have no sense of size.
15:05:23 <GregorR> Your countrymen invented my stupid measurements :P
15:05:42 <ehird> GregorR: ok, but then i can't look at it properly
15:05:44 <ehird> without moving my head
15:06:44 <GregorR> Then you put it too far to your right :P
15:06:49 <GregorR> Idonno, I can't describe it X-D
15:07:34 <ehird> GregorR: I can't get it to be both not annoying when I hold it, and not straining my eye when I look at it.
15:08:42 <GregorR> The hand metaphor doesn't fit the eyestrain, just the vision-blocking.
15:08:59 <ehird> Meh.
15:09:01 <ehird> I want my damn visor.
15:09:13 -!- jix has quit ("leaving").
15:12:37 <ehird> GregorR: But seriously, that all sounds awkward.
15:15:42 -!- KingOfKarlsruhe has quit (Remote closed the connection).
15:18:20 <ehird> GregorR: No?
15:19:30 <GregorR> No.
15:19:40 <GregorR> But it's impossible to describe, and I'm so fucking tired of your endless inquiry.
15:20:33 <ehird> I aim to irritate. ^_^
15:47:16 <ehird> wow
15:47:20 <ehird> someone actually uses syllable
15:50:19 <GregorR> Whaaaaaaaaa?
15:50:33 <ehird> GregorR: the OS
15:50:58 <GregorR> I know that.
15:51:03 <GregorR> I'm just as surprised as you that anybody uses it.
15:51:26 <ehird> Yeah.
15:51:57 <ehird> GregorR: They use it to host the website (not the server edition... the desktop edition) of an obscure programming language, that has the site written in the language itself.
15:51:59 <ehird> Bizarre.
15:52:21 <GregorR> With an interpreter that only runs on Syllable? :P
15:52:43 <ehird> GregorR: I think it's portable.
15:57:57 -!- inurinternet has joined.
16:00:21 <ehird> GregorR: [[At one point the line comment support was very buggy]]
16:00:26 <ehird> This guy managed to make single-line comments buggy.
16:00:34 <ehird> HOW?!
16:01:00 <GregorR> Syllable.
16:01:14 <ehird> Trufax.
16:02:31 <ehird> "The Last Ever", said Phrack
16:02:31 <ehird> We've heard that one
16:02:33 <ehird> sixty-six times.
16:12:22 <ehird> GregorR: it does have syllable-only features
16:12:30 <ehird> GregorR: i bet $50 that he's a syllable developer
16:20:02 <ehird> GregorR: How much would you kill me if I asked the final question of "what keyboard do you use"? :P
16:20:16 <ehird> Note: That is not a question. That is a question, about a question.
16:20:26 <ais523> ehird: and therefore is a question
16:20:33 <ehird> ais523: Shut up.
16:35:31 <ehird> Due to high demand we are currently out of stock on the Bluetooth Left Hand model of our keyboards. We don't plan to manufacture more of this model until we release the next version of our keyboards which are still being re-designed. If you are interested in this product please email us using the link below and we will add you to our email list to keep you updated on Bluetooth Left-Hand FrogPad keyboard developments.
16:35:33 <ehird> GRRRRRRRRRR
16:37:56 -!- MigoMipo has quit ("QuitIRCServerException: MigoMipo disconnected from IRC Server").
16:39:54 <ehird> anyone want to sell me a lisp machine?
16:40:22 <pikhq> If I had one, you think I'd be selling it?
16:40:52 <ehird> pikhq: If your name was Symbolics and you were a company, yes.
16:41:02 <pikhq> Well, yes.
16:41:04 <ehird> To wit:
16:41:24 <pikhq> But I'm not a company; instead, I'd have a Lisp machine for my own retrocomputing purposes.
16:41:31 <ehird> *searches*
16:42:46 <ehird> pikhq: also, working on a lisp machine requires a very specific setup
16:43:00 <ehird> pikhq: specifically, you don't want it anywhere near you, because it's gigantic and LOUD.
16:43:12 <pikhq> ehird: Ah.
16:43:21 <ehird> pikhq: The hackers took the brute-force approach to PC silencing... move it a room or two across, and use long cables.
16:43:35 <pikhq> So, I wouldn't want one unless I had a spare basement.
16:43:37 <ehird> pikhq: And for all that, you get a *single-user* machine. :-P
16:44:03 <pikhq> Hawt.
16:44:22 <pikhq> (no, really, I'm sure it could replace a normal home's heating system)
16:44:26 <ehird> :D
16:44:34 <ehird> pikhq: I dunno; it must have had like 50 fans.
16:44:58 <ehird> pikhq: BTW, you can get a full lisp machine (not one of the mac-based ones; a true, proper, high end lisp machine) for something like $1-2k.
16:45:12 <ehird> including symbolics keyboard etc
16:45:14 <pikhq> That's pretty damned spiffy.
16:45:20 <ehird> this is prohibitively expensive for what it is, of course —
16:45:27 <ehird> the actual machine is pretty crap as far as computational power.
16:45:38 <pikhq> But it has bucky bits!
16:46:07 <ehird> pikhq: [[I'm not exactly sure which model I have as it is on the other side of the country. The nickname that comes to mind is "The Air Conditioner" because it's big and loud.]] [[It also has two hard disks inside that are add up to a couple hundred MB I think. Also I recall the memory on the machine was measured in mega words (since it is a 36-bit arch). I can remember the exact number anymore, I want to say 512 but then that's too perfect a number.]]
16:46:28 <ais523> 512 words memory?
16:46:33 <ais523> that's pretty low
16:46:35 <ehird> ais523: megawords
16:46:37 <pikhq> ais523: Megawords.
16:46:48 <ais523> oh, that's quite a bit more
16:46:55 <ais523> I thought megawords as in words which were bigger than normal
16:46:55 <ehird> kiloword = 1024 words. megaword = 1024 kilowords.
16:47:11 <ehird> So 536,870,912 words.
16:47:26 <ehird> 19,327,352,832 bits.
16:47:34 <FireFly> Wouldn't that be kibiwords and mebiwords?
16:47:43 <ehird> FireFly: Pedant.
16:48:00 <ehird> ais523: Anyway, 512 megawords of 36-bits = 2.25 gigabytes.
16:48:14 <ehird> pikhq: I think we can agree that either that figure is wrong, or these things were damn beefy.
16:48:17 <ehird> ...
16:48:17 <ehird> Wait.
16:48:19 <ais523> that could handle Vista's memory requirements
16:48:21 <ehird> pikhq: ais523: They're wrong.
16:48:29 <ehird> The harddrives add up to a COUPLE OF HUNDRED _MEGABYTES_.
16:48:33 <pikhq> Aaaw.
16:48:37 <ehird> There's no way it had 2GB of RAM.
16:48:44 <ehird> Let's go a simpler route.
16:48:46 <pikhq> ehird: I was about to say...
16:48:51 <ehird> Kiloword = 1000 words. Megaword = 1000 kilowords.
16:49:08 <pikhq> "That seems like a lot for a computer from a day when core memory seemed practical"...
16:49:11 <ehird> That's 2GB again.
16:49:15 <ehird> Maybe they meant kilowords.
16:49:23 <ais523> would be more plausible
16:49:30 <ais523> and core memory /was/ practical
16:49:32 <ais523> back then
16:49:40 <pikhq> ais523: Sorry, s/seemed/was/
16:50:05 <ehird> pikhq: ais523: If we say 512 kilowords, that's 2MB of RAM - for an 80s machine with a few HDs totaling a few hundreds of megs, that seems accurate.
16:50:13 <pikhq> Yeah.
16:50:33 <ais523> well, that could still run Windows 3.1
16:50:46 <ehird> ais523: No it couldn't, it's a Lisp Machine, not an x86. :P
16:50:53 <ais523> memory-wise, I mean
16:51:01 <ais523> and you could probably emulate an x86 on one
16:51:09 <ais523> emulation doesn't use up masses of extra memory
16:51:11 <ais523> it's just slow
16:51:15 <ehird> True.
16:51:30 <ehird> ais523: But I would not wish writing an x86 emulator in Lisp Machine Lisp on anyone.
16:51:49 <ehird> pikhq: did you know that symbolics made their own monitors?
16:51:55 <ehird> pikhq: Right down to the cathode ray tubes, iirc.
16:52:07 <pikhq> ehird: That's pretty damned impressive.
16:52:08 <ehird> Although they didn't make their actual keyboard keys, because by that point they decided they were going too far.
16:52:09 -!- GregorR-L has joined.
16:52:16 <ehird> Because they'd in-housed just about everything else :P
16:52:19 -!- inurinternet has quit (Connection timed out).
16:52:30 <ehird> Damn, I'd love a flickery, monochrome, crappy CRT.
16:52:32 <GregorR-L> Nom nom nom.
16:52:44 <GregorR-L> <ehird> Strapped to my eye
16:52:58 <ehird> GregorR-L: Wat
16:53:16 <GregorR-L> <ehird> Damn, I'd love a flickery, monochrome, crappy CRT. <ehird> Strapped to my eye
16:53:22 <ehird> Ah.
16:53:37 <ehird> 16:20 ehird: GregorR: How much would you kill me if I asked the final question of "what keyboard do you use"? :P
16:53:38 <ehird> 16:20 ehird: Note: That is not a question. That is a question, about a question.
16:53:40 <ehird> <_<
16:53:55 <ehird> pikhq: Let's start an #esoteric Fund for Lisp Machine Acquisition and Following Inquisition.
16:54:14 <pikhq> Meh.
16:54:30 <ehird> pikhq: MEH‽
16:54:33 <pikhq> If I've got $1,000 free, I'm getting about 10 1TB drives or something. :P
16:54:39 <GregorR-L> I would kill you very little, but I thought I already answered that question >_>
16:54:45 <ehird> pikhq: It's called a collective fund :P
16:54:48 <ehird> GregorR-L: Not that I recall.
16:55:02 <ehird> pikhq: Also, a Beowulf cluster would be a better use of money.
16:55:32 * pikhq can make good usage of hard drive space
16:55:38 <GregorR-L> Freedom Input Slim Keypad
16:55:54 <ehird> pikhq: Yes, but think of the image processing you could do to that porn with a beowulf cluster.
16:55:58 <ehird> GregorR-L: Rings a bell
16:56:09 <ehird> GregorR-L: Oh, GOD.
16:56:11 <ehird> GregorR-L: That thing?
16:56:19 <ehird> GregorR-L: The keyboard with NO TACTILE RESPONSE WHATSOEVER and an awful feel? >_<
16:56:53 -!- inurinternet has joined.
17:00:24 <GregorR-L> I have to assume you've never actually used one.
17:00:33 <GregorR-L> Since the keys make an extremely satisfying 'click'
17:00:49 <ehird> GregorR-L: I'm basing it by looking at it and seeing exactly how it's made :P
17:01:04 <ehird> There's no actual keys there...
17:01:12 <GregorR-L> http://images2.wikia.nocookie.net/wearcomp/images/3/3b/FreedomSlimKeypad.jpg // this one?
17:01:18 <ehird> yes
17:01:44 <GregorR-L> There are actual keys there ...
17:02:03 <ehird> GregorR-L: really? I see one platter and no raised keys.
17:02:36 <GregorR-L> Well, they're not separated keys in that sense, what I mean is it's not just flat, the metal is cut and the key sections click down.
17:02:59 <GregorR-L> The metal is the keys, and it's raised above the actual surface. The keys are attached, but raised.
17:03:33 <ehird> GregorR-L: Eh?
17:03:37 <ehird> oh, I see.
17:03:40 <ehird> GregorR-L: Like an ATM keyboard.
17:03:57 <GregorR-L> Uhh, if ATM keyboards are like that in the UK, then yes? :P
17:04:00 <GregorR-L> They certainly aren't here :P
17:04:19 -!- Slereah has quit (Read error: 60 (Operation timed out)).
17:04:23 <ehird> Dunno.
17:04:26 <ehird> Just reminded me of it.
17:04:31 <pikhq> Here, they're more likely to be individual keys or (shudder) a crappy touchscreen.
17:04:37 <ehird> GregorR-L: Anyway, that can't match a keyboard with actual individual keys.
17:04:37 <pikhq> ... Running Windows.
17:04:56 <pikhq> "Because we need something more advanced than a microcontroller!"
17:04:59 <GregorR-L> pikhq: On Diebold hardware 8-D
17:05:09 <pikhq> GregorR-L: Ah, yes. Diebold...
17:05:42 <ehird> pikhq: http://www.lispmachine.net/symbolics.txt Lisp Machine price list. The top of the line one? 40MB = 8 megawords of memory. 9 GIGABYTES scsi disk. All symbolics software pre-loaded. CD-ROM drive, high-resolution 19" monochrome. Keyboard, three button mouse.
17:05:44 <ehird> Deskside machine.
17:05:47 <ehird> 9 x 25 x 32.
17:05:49 <ehird> 120V power.
17:05:53 <ehird> Yours for only $3,500.
17:05:57 -!- Slereah has joined.
17:06:01 <pikhq> Oooh, ahhh.
17:06:10 <pikhq> A whole CD drive!
17:06:15 <ehird> The funnest part is that SCSI disks are NOISY AS FUCK. :)
17:06:35 <GregorR-L> ehird: The feel is nearly individual keys, there's just a thin strip of metal connecting keys, and not even connecting the ones that are immediately next to each other *shrugs*
17:06:46 <ehird> GregorR-L: Meh fine :P
17:07:14 <GregorR-L> I'm not going to claim that it might not feel better with individual keys, but at that size the keys would be awkward and tiny anyway :P
17:07:18 <ehird> pikhq: Anyway, that's the top of the line Symbolics workstation; but shit, $3,500? I wouldn't even consider it. There is a limit to how much I'd pay for retro.
17:07:23 <ehird> GregorR-L: Look up the frogpad
17:07:36 <GregorR-L> I've seen it. It is not a full-layout keyboard.
17:07:37 <pikhq> ehird: Yeah.
17:07:40 <GregorR-L> Also it's absurdly overpriced.
17:07:46 <pikhq> I think I'd get much more entertainment out of a C64.
17:07:56 <ehird> pikhq: Unfortunately, the only other actual Symbolics machine you can get is a speed-factor 1 $675.
17:08:04 <ehird> Which is, sure, a collectors item; but really crap.
17:08:06 <GregorR-L> If I would buy the frogpad, I'd just make a chording keyboard. I don't want a learning curve to type.
17:08:19 <ehird> GregorR-L: Apparently the learning curve is a few hours.
17:08:23 -!- MizardX has quit ("from __future__ import skynet").
17:08:39 <GregorR-L> ehird: Yeah, I've heard that before :P
17:08:43 <ehird> GregorR-L: Anyway, that thing isn't full qwerty.
17:08:55 <GregorR-L> The Freedom? Yes it is.
17:09:14 <ehird> GregorR-L: I see no - = [ ] \ ; ' , . / keys.
17:09:23 <GregorR-L> X_X
17:09:31 <GregorR-L> I define QWERTY as the LETTER keys. Maybe the numbers too.
17:09:32 <pikhq> Your mother said something similar last night.
17:09:49 <GregorR-L> pikhq: What a strange thing for her to say :P
17:09:55 <pikhq> GregorR-L: Indeed.
17:10:06 <pikhq> ehird has a very odd mother.
17:10:20 <ehird> GregorR-L: I wanna program on this thing, man. :)
17:10:26 <GregorR-L> :P
17:10:35 <GregorR-L> I'm considering buying the bluetooth laser keyboard for that.
17:10:46 <GregorR-L> But I'm afraid the complete lack of tactile response will make it unusable.
17:10:49 <ehird> GregorR-L: Yes.
17:10:55 <ehird> I have heard that from everyone who has ever, ever used one.
17:11:03 <ehird> You can't even rest on it, and mistakes are simply a fact of life.
17:11:08 <ehird> Might as well smash your head on a real keyboard
17:11:24 <ehird> GregorR-L: I suggest, for that, a full qwerty split keyboard in two pieces
17:11:31 <ehird> You won't need the mouse much for coderating.
17:11:38 * pikhq smashes keyboard on the desk, pretending to be a member of The Who
17:11:42 <GregorR-L> (AKA a folding keyboard)
17:11:47 <ehird> GregorR-L: ...No.
17:11:50 <ehird> That's not split into two pieces.
17:11:54 <ehird> GregorR-L: I mean the ergonomic keyboards.
17:12:04 <ehird> One of them with less padding, one of the split-in-two-pieces model.
17:12:05 <ehird> One for each hand.
17:12:06 <ehird> Voila.
17:12:22 <GregorR-L> Oh :P
17:12:24 <ehird> GregorR-L: http://www.kinesis-ergo.com/freestyle.htm
17:12:29 <ehird> The Freestyle Solo there.
17:12:31 <ehird> Basically just the ticket.
17:12:37 <ehird> http://www.kinesis-ergo.com/images/freestyle-solo_690x375.jpg
17:12:49 <ehird> Full qwerty, a bit big, but meh. 's for coding purposes only.
17:13:06 <ehird> The cable could be a problem. Shrug - YMMV.
17:13:19 <ehird> pikhq: Clearly what #esoteric needs is to homebrew some lisp machines.
17:13:30 <ehird> FPGA! We can invent our own new EVERYTHING.
17:13:57 <pikhq> ehird: Man. Awesomeness.
17:14:08 <GregorR-L> EgoFPGA :P
17:14:11 <pikhq> ... I strongly suspect an FPGA would make a *good* Lisp machine.
17:14:35 <ehird> pikhq: For values of good equal to "a bunch of suspect legacy, PC-like ports because FPGA manufacturers suck" and "slllllloooooooooooooowwwwwwwww".
17:14:36 <pikhq> Well, compared to Symbolics machines.
17:14:51 <pikhq> Compared to anything you could do with ASICs? Nah.
17:14:57 <ehird> I wish you could buy an FPGA board without a DVI, VGA, 5xUSB, PCI, dfhjdsfkhkdjsfhksjdf, ethernet, AAAAAAAAAAAAAAAA cable.
17:15:01 <ehird> socket, rather.
17:15:33 <GregorR-L> Buy a BeagleBoard and emulate an FPGA :P
17:15:38 -!- MizardX has joined.
17:15:40 <pikhq> GregorR-L: XD
17:15:47 <ehird> GregorR-L: No. :P
17:15:53 <ehird> BeagleBoard has legacy ports too.
17:16:01 <ehird> pikhq: Cuz we would invent our own display ports, wouldn't we?
17:16:07 <ehird> We could have everything connected via one port, dammit.
17:16:11 <ehird> (One port type that is.)
17:16:20 <ehird> 1 Gbit/sec monitors :P
17:16:29 <ais523> hmm... I've got a copy of the C# INTERCAL's source code
17:16:40 <ehird> ais523: how did that happen?
17:16:40 <ais523> but it's theoretically impossible to comply with the licence, I think deliberately
17:16:42 <GregorR-L> ais523: BURN! DESTROY!
17:16:43 <ais523> and by email
17:16:50 <ehird> ais523: I guess he read what I said
17:17:01 <GregorR-L> ais523: Whyzat?
17:17:03 <ehird> ais523: Anyway, forward the email to me?
17:17:10 <ehird> I'm perfectly happy to violate licenses and it wouldn't be your fault.
17:17:16 <ais523> the copy's for "archival purposes only"
17:17:36 <ehird> ais523: I'm not sure that's deliberately uncompliable. The way I worded it...
17:17:46 <ehird> Well, I wouldn't expect more intelligence than such license idiocy from a C# guy :P
17:17:48 <GregorR-L> So, it would be your fault because redistribution is not OK :P
17:17:54 <ais523> err wait, there's a loophole
17:18:07 <ais523> a consortium of exactly 4 people can use the software
17:18:13 <ais523> as long as they all send copies to each other first
17:18:20 <GregorR-L> I remember having a giant argument about a license that stipulated that you can't use the software to do anything harmful directly OR INDIRECTLY.
17:18:39 <GregorR-L> Which effectively means you can't redistribute it at all.
17:18:51 <ehird> ais523: I have multiple personality disorder.
17:18:51 <pikhq> GregorR-L: ... Indirectly?
17:18:52 <ehird> Let's do it.
17:19:15 <ais523> strangely, there's also a requirement that if you make a deriviative work, you must claim to have no idea who the original author was
17:19:32 <GregorR-L> pikhq: Worse yet, I described a scenario (you give it to a friend who gives it to a friend who gives it to a friend in NASA who uses it on a space shuttle when a bug in it causes the shuttle to explode), and his response was "then you shouldn't have given it to that friend"
17:19:34 <pikhq> ais523: Lawlwut?
17:19:39 <GregorR-L> pikhq: So many WTF.
17:19:55 <pikhq> GregorR-L: I... And...
17:19:55 <ais523> pikhq: a sensible precaution where INTERCAL is concerned
17:20:13 <pikhq> THIS IS WHY THE GPL INCLUDES A DISCLAIMER OF WARRANTY!
17:20:27 <ais523> it has a disclaimer of warranty too
17:20:39 <ehird> ais523: which did we conclude was best for a starter? Altera or ... Xsomething?
17:20:41 <GregorR-L> ais523: Other conversation :P
17:20:42 <ais523> ooh, also, you can do anything you like with what you remember of the software
17:20:47 <ais523> ehird: altera vs. xilinx
17:20:51 <ehird> ais523: Right.
17:21:00 <ais523> and you decided altera's starter boards were better IIRC, although I can't remember why
17:21:07 <ehird> ais523: Incidentally, would I be at risk at hitting the limits if I tried to program a full-featured Lisp machine on these?
17:21:09 <ehird> I suspect so.
17:21:10 <GregorR-L> pikhq: It wasn't a "because I'm afraid of liability" thing, it was a "because I'm a peace-loving retard with no understanding of even the most trivial legal matters"
17:21:21 <pikhq> GregorR-L: That's just retarded.
17:21:22 <ais523> ehird: I've hit the limits trying to do a few 32-bit multiplications before
17:21:28 <ehird> GregorR-L: God, some people are idiots.
17:21:30 <ehird> ais523: Jesus.
17:21:33 <ais523> but then, a one-cycle multiplier takes masses of circuitry
17:21:38 <ehird> ais523: What's the minimum price for a _decent_ FPGA?
17:21:43 <ehird> GregorR-L: What softwar was this?
17:21:51 <ais523> which is why in all practical CPUs, multipliers take multiple cycles
17:21:52 <pikhq> ehird: Couple hundred, I'd imagine.
17:21:56 <ehird> *software
17:22:01 <GregorR-L> ehird: "Flower". I don't even know what it does, I just responded to him calling that an "Open Source" license :P
17:22:01 <ais523> a truly fast multiplier is insanely hard to make
17:22:03 <ehird> pikhq: No— $150 is the bare bottom price.
17:22:08 <ehird> $200 is for one up.
17:22:09 <ais523> yet that's what VHDL/Verilog end up implementing if you write *
17:22:13 <ehird> Then it gets to $250.
17:22:14 <ais523> for multiply
17:22:16 <ehird> Not approaching decentness.
17:22:17 <ais523> and don't clarify
17:22:24 <ehird> ais523: Heh.
17:22:35 <ehird> GregorR-L: care to be less vague so I can google?
17:22:48 <GregorR-L> ehird: I don't know if I can be :P
17:22:50 <ais523> FPGA turns what you thought you knew about computing upside-down
17:22:57 <GregorR-L> ehird: It was called Flower, and it was on the d.announce newsgroup.
17:23:02 <ehird> GregorR-L: Ah, OK.
17:23:23 <ehird> GregorR-L: "flowerd"? Dec 2007?
17:23:26 <ehird> HTML thing.
17:23:32 <ehird> No posts by you though
17:24:05 <GregorR-L> http://www.digitalmars.com/d/archives/digitalmars/D/announce/Flower_opened_8869.html // here 'tis
17:24:27 <ehird> LICENSE: use without restrictions at your own risk and responsibility unless
17:24:27 <ehird> this use is resulting (directly or indirectly) harm to any sentient being
17:24:28 <ehird> ok, ww.
17:24:31 <ehird> wow
17:24:40 <ehird> i want to punch that guy for being such a retard
17:25:37 <ehird> [[If you think this is going to be the case, don't give it to your friend. As
17:25:37 <ehird> simple as that ;)]]
17:25:44 <GregorR-L> Amazing, no?
17:25:48 <ehird> GregorR-L: Be kind — you know how we can see to the past just as events not happening?
17:25:52 <ehird> He, obviously, is not blind to the future.
17:26:00 <ehird> A modern marvel.
17:26:26 <ehird> Seems like someone missed the point of licenses here. :\
17:26:27 <ehird> (Apart from looking uber cool in a source file, that is.)
17:26:28 <ehird> Errrrrrrrrrrrrr, what>
17:27:02 <ehird> From my point of view it is already dead. I posted it just because it have some
17:27:02 <ehird> good stuff in there that may be useful to someone. About you flies question -
17:27:04 <ehird> yes, I am pretty sure flies are sentient beings, but if there are few lines of
17:27:06 <ehird> my code on the page and few lines of your code then which code is the reason?
17:27:08 <ehird> Deep.
17:27:10 <ais523> hmm... no wait
17:27:17 <ais523> this license is unusable after all
17:27:29 <ais523> it requires you to redistribute the code before you can do anything, including redistributing the code
17:27:32 <ehird> ais523: can you just ask him for a bread and butter license?
17:27:45 <ais523> nah, I feel he rather didn't want to give up source as it was
17:27:49 <ais523> he feels it isn't in a releasable state yet
17:27:53 <ehird> i wouldn't care if not for your ultra-paranoid legalistic attitude :)
17:28:19 <ais523> I might try running some of the .exe files that were left in the debug directory, though
17:28:25 <ehird> [[I see no lawyers in the whole thing. Looking at my English dictionary I
17:28:25 <ehird> understand the word "license" as "the author requests that ..."]]
17:28:28 <ais523> as they're based on GPLed source, they must be GPL themselves
17:28:28 <ehird> Stupidity, meet world.
17:28:31 <ehird> World, meet stupidity.
17:28:41 <ehird> ais523: are you sure that holds?
17:29:04 <ais523> ehird: no, the other possibility is that they're illegal derivatives in the first place
17:29:26 <ais523> although generally speaking, output executables don't inherit copyright from the compiler that made them
17:30:17 <pikhq> GregorR-L: I especially love how that license probably prevents anything that contributes to global warming executing it.
17:30:34 <GregorR-L> pikhq: Which is everything.
17:30:37 <pikhq> So, the only computer it could really run on operates on free energy. ... In SPACE!
17:30:52 <ehird> pikhq: ... You just figured out what fortune cookies REALLY need.
17:30:53 <ehird> Not "in bed".
17:30:56 <ehird> "in SPACE!"
17:31:00 <pikhq> ehird: :D
17:31:09 <ehird> "You will read a fortune cookie. ...in SPACE!"
17:31:56 <ehird> actually, wait
17:32:01 <ehird> pikhq: GregorR-L: it's not an EULA
17:32:04 <ehird> it doesn't restrict usage
17:32:06 <ehird> just redistribution
17:32:21 <ehird> so you can use it, just not distribute it to someone who will run it on a global warming computer
17:32:43 <ais523> the licence here thinks it's an EULA
17:32:45 <ehird> ais523: what do verilog/vhdl generate when you do *?
17:32:48 <ais523> Windows mentality, I imagine
17:32:52 <ehird> *license
17:32:59 <ais523> ehird: circuitry capable of doing a multiplication in one clock cycle
17:33:00 <ehird> ais523: that may void a lot of it
17:33:07 <ehird> ais523: i mean, what dose it actually generate
17:33:19 <ais523> ehird: there are standard circuits for one-cycle multiplications
17:33:23 <ais523> but they're massively large
17:33:24 <ehird> *does
17:33:31 <ehird> ais523: surely a gigantic circuit will take >1 cycle
17:33:33 <ehird> ?
17:33:33 <ais523> O(n^2) in the order of the number of bits in the number
17:33:44 <ais523> ehird: no, you can implement anything in one cycle, apart from delays
17:33:44 <ehird> ais523: icarus verilog, verilog behavioral simulator, veriwell verilog simulator
17:33:45 <ehird> which is best :P
17:33:51 <ais523> but the cycles often have to be rather slow
17:34:04 <ais523> also, I use GHDL as a simulator
17:34:13 <ehird> that's not verilog :P
17:34:16 <ais523> good point
17:34:18 <ehird> Yes, VeriWell *is* the same
17:34:19 <ehird> simulator that was sold by Wellspring Solutions in the mid-1990 and was included
17:34:20 <ehird> with the Thomas and Moorby book
17:34:21 <ais523> I've never heard of any of those simulators
17:34:22 <ehird> that seems promising
17:34:33 <ehird> if it was sold and came with a book it's probably okay.
17:34:38 <ehird> hopefully.
17:34:55 <ehird> verilog behavioral simulator's homepage is 404'd
17:35:03 <ehird> http://www.icarus.com/eda/verilog/
17:35:10 <ehird> so it's icarus vs veriwell.
17:35:21 <ehird> icarus use git
17:35:26 <ehird> so I guess it's actively developde
17:35:28 <ehird> *developed
17:35:59 <ehird> ais523: how often do the simulators mismatch the hardware?
17:36:19 <ais523> only when you're driving the hardware near or beyond tolerances
17:36:39 <ehird> ais523: can you literally make an fpga halt and catch fire? :-)
17:36:48 <ais523> depends on what it's connected to
17:37:02 <ehird> ais523: nothing special
17:37:04 <ais523> they won't stand being short-circuited for any length of time, really
17:37:09 <ais523> that makes them catch fire
17:37:14 <ais523> and they're really static-sensitive too
17:37:16 <ehird> ais523: with just code
17:37:20 <ais523> it's worth buying a wristband
17:37:32 <ehird> with just code
17:37:33 <ais523> ehird: it depends on whether what's an input and what's an output are configurable in code
17:37:42 <ehird> ais523: without I/O :p
17:37:43 <ais523> which probably depends on the model
17:37:46 <ais523> ehird: no, obviously
17:37:53 <ais523> I/O is how you get them to catch fire
17:37:59 <ais523> by changing what should be an input into an output
17:38:02 <ais523> and causing a contradiction
17:38:08 <ehird> ais523: not really
17:38:11 <ehird> simple chip flaws could do it
17:38:34 <ais523> they wouldn't pass testing
17:38:54 <ais523> and given the typical target market for FPGAs, a company that routinely put out FPGAs that didn't pass testing wouldn't stay in the market long
17:39:00 <ehird> ais523: so every possible combination of code is testing?
17:39:04 <ehird> *tested
17:39:10 <ehird> even extremely pathological examples?
17:39:11 <ais523> every path within the FPGA, quite often
17:39:21 <ais523> that's different from testing every possible program
17:39:24 <ais523> but can be done quite quickly
17:40:01 <ehird> module main;
17:40:01 <ehird> initial
17:40:03 <ehird> begin
17:40:05 <ehird> $display("Hello world!");
17:40:07 <ehird> $finish;
17:40:09 <ehird> end
17:40:11 <ehird> endmodule
17:40:13 <ehird> ais523: I assume $display is a debug thing?
17:40:19 <lament> $finnish;
17:40:26 <ais523> ehird: almost certailny
17:40:34 <ais523> the VHDL hello world also uses debug output
17:40:42 <ais523> note that debug I/O is very important in simulators
17:40:48 <ais523> it's been known for people to reimplement TCL in VHDL
17:40:55 <ehird> ais523: what would $finish do?
17:40:56 <ais523> and use it to drive test I/O
17:40:59 <pikhq> s/TCL/Tcl/
17:41:04 <ehird> also, tcl seems very popular among chip people
17:41:07 <ais523> ehird: it prevents an infinite loop there
17:41:09 <ehird> pikhq: Tool Command Language; QED.
17:41:10 <ehird> ais523: ah.
17:41:26 <ais523> basically, in a behavioral language
17:41:34 <pikhq> ehird: It's still spelled as Tcl.
17:41:39 <ais523> each block (which could be one statement, or a whole process like that) runs whenever any of its inputs changes
17:41:45 <ais523> or repeatedly forever if it has no inputs
17:41:51 * pikhq knows Tcl.
17:42:01 <ais523> in a VHDL hello world, you put the equivalent of sleep forever at the end of the main process
17:42:04 <ehird> pikhq: My name is I#$J(@*$&*(&(*~&89&W*)(D*fuCCCCCCCCCK
17:42:08 <ais523> in order for the simulation to actually end
17:42:10 <pikhq> ais523: Reimplement Tcl in VHDL? Doesn't surprise me; Tcl is rather easy to implement.
17:42:14 <ehird> When capitalised, it is @*#$((((((((((((OOK
17:42:21 <ehird> Please respect these conventions
17:42:51 <pikhq> Someone made a rather complete implementation in C in about 500 lines of code...
17:43:16 <pikhq> Including hand-written parser.
17:43:35 <ehird> We know
17:43:57 <pikhq> Þou knoweſt?
17:49:07 * ehird adds verilog support to editor, figuratively rubs hands
17:49:16 <ehird> It's hardware time!
17:49:29 * ehird puts on appropriate ambience - http://dqn.dqn.lol.googlepages.com/GJS_Jay_Sussman_Feat._JSB_Sebastian_.mp3
17:49:52 <ais523> lol.googlepages.com?
17:49:57 <ehird> EVAL APPLY CAR CUDDER
17:50:01 <ehird> ais523: dqn.dqn.lol.googlepages.com
17:50:06 <ais523> also, did you just get a free Verilog simulator?
17:50:07 <ehird> ais523: dots are valid in google account names
17:50:11 <ehird> ais523: yes
17:50:11 <ais523> ah, aha
17:50:12 <ehird> open source, too
17:50:18 <ais523> sounds good
17:50:21 <ehird> i could have chosen from two others, but I chose this one.
17:50:26 <ehird> also, it's actively developed and seems good.
17:50:33 <ehird> Verilog pwns VHDL :P
17:50:44 <ais523> VHDL makes it harder to make an error
17:50:51 <ais523> whereas Verilog just silently corrects your code
17:51:03 <ais523> it's as lax with variables as Visual Basic without Option Explicit is
17:51:09 <ehird> Meh
17:51:14 <ais523> *signals
17:51:23 <ais523> signal = behavioural concept of a variable
17:51:34 <ais523> variable (which also exists) = traditional imperative concept of a variable
17:51:47 <ehird> wut
17:52:34 <ais523> signals are like variables, except assigning to them is delayed-action
17:52:51 * ehird wonders what vpi is
17:53:08 <ehird> [ehird:~/Code/scraps/2009-06] % iverilog hello.v -o hello
17:53:08 <ehird> -o: No such file or directory
17:53:10 <ehird> [ehird:~/Code/scraps/2009-06] % iverilog -o hello hello.v
17:53:12 <ehird> [ehird:~/Code/scraps/2009-06] %
17:53:14 <ehird> *sigh* temperamental software.
17:53:22 <ehird> [ehird:~/Code/scraps/2009-06] % ./hello
17:53:22 <ehird> Hello, world!
17:53:24 <ehird> Hooray.
17:53:35 <ehird> ais523: wow, hello's only 249 bytes
17:53:41 <ehird> oh
17:53:46 <ehird> it's a text file
17:53:51 <ehird> [[#! /opt/local/bin/vvp
17:53:51 <ehird> :vpi_time_precision + 0;
17:53:53 <ehird> :vpi_module "system";
17:53:55 <ehird> S_0x200ce0 .scope module, "main" "main";
17:53:57 <ehird> .timescale 0;
17:53:59 <ehird> .scope S_0x200ce0;
17:54:01 <ehird> T_0 ;
17:54:03 <ehird> %vpi_call "$display", "Hello, world!";
17:54:05 <ehird> %vpi_call "$finish";
17:54:07 <ehird> %end;
17:54:09 <ehird> .thread T_0;]]
17:54:11 <ehird> lol
17:54:13 <ehird> hmm bit of a flood
17:54:15 <ehird> sorry.
17:54:16 <ais523> that's not in a format I know
17:54:33 <ehird> ais523: it's icarus-specific, I assume
17:54:36 <ehird> bytecode, of sorts.
17:54:39 <ais523> yes
17:54:57 <ehird> runs in 0.006s
17:55:00 <ehird> so pretty good so far
17:55:13 <ehird> (that's how fast a C hello world runs on my system)
17:57:11 <ehird> Okay, now to learn Verilog.
17:57:15 <ehird> *INSTANT DEMOTIVATOR*
17:57:38 <ais523> hello world really doesn't explain how behavioural langs work
17:57:47 <ais523> especially as you have to use debug commands to manage it
17:58:09 <ais523> (in VHDL, text output isn't even in core, you have to load debug I/O libraries to be able to do a hello world)
17:58:27 <ehird> yeah
18:00:44 <ehird> ais523: There should be a hardware tarpit.
18:00:55 <ehird> It'd be very relaxing.
18:01:06 <ehird> ...where did I get that idea from?
18:01:07 <ehird> oh, I'm tired
18:01:15 <ais523> signal, nand, when
18:01:28 <ehird> ais523: more pure.
18:02:34 <ehird> ais523: I'ma try write a thingy that just flips a bit 4eva and eva.
18:03:01 <ehird> always @ (posedge reset or posedge clock)
18:03:03 <ais523> it's a one-liner in VHDL (plus about 10 lines boilerplate that everything needs)
18:03:11 <ehird> it occurs to me I don't understand that.
18:03:19 <ais523> the Verilog version is slightly more complicated
18:03:29 <ais523> but basically, a process has rules stating when it runs
18:03:42 <ehird> ais523: i just want the simplest way to say "as fast as possible:"
18:03:43 <ais523> and that's saying that it runs unconditionally at the positive edge of the clock
18:03:45 <ais523> or when reset
18:03:52 <ais523> and there isn't one
18:04:03 <ais523> that means "once per clock cycle", which is a practical as fast as possible
18:04:15 <ais523> because if you start messing around with negative edges or quad data rate, synthesizers hate you
18:04:24 <ehird> ais523: i just don't like not understanding things
18:04:25 <ais523> and produce really bad circuitry, or just barf with an error
18:04:26 <ehird> also, haha
18:04:38 <ehird> ais523: it occurs to me that really bad circuitry could be an artform
18:04:55 <ehird> ais523: btw fpga actually has nothing to do with circuitry right? it's just a sort of lower level cpu running your "cpu interpreter"
18:05:06 <ehird> ais523: anyway, so I need an input clock;?
18:05:08 <ais523> it's pretty close to the hardware
18:05:11 <ehird> but how do I procure one of them to use it
18:05:12 <ais523> and yes, you'll need a clock input
18:05:21 <ais523> and I don't know how to make a test clock in VHDL
18:05:27 <ais523> in Verilog, it would be clock <= not clock after 10 ns;
18:05:39 <ais523> wait, VHDL and Verilog are the wrong way round there
18:05:51 <ehird> :P
18:06:42 <ais523> you can choose other time periods than 10ns, but 10ns is a typical value that you can normally achieve in practice
18:06:56 <ais523> also, in hardware, you're most likely getting the clock signal from an input to the hardware itself
18:07:06 <ais523> rather than generating it internally, which synthesizers can't do
18:07:16 <ais523> you can use after in simulation, but synthesizers ignore it
18:07:28 <ais523> the problem with VHDL/Verilog is that they're really two langs each
18:07:37 <ais523> the simulation lang, where you can use all the features
18:07:45 <ais523> and the synthesis lang, where you can only use a small subset
18:07:48 <ais523> the skill's in writing polyglots
18:08:28 <ehird> yeah
18:09:52 <ais523> bonus points if the synthesis and simulation versions actually do the same thing
18:10:06 -!- Corun has joined.
18:10:11 <ais523> so your question about "does synthesis work the same way as simulation?"? yes, but only if you keep to the common subset
18:10:22 <ehird> ais523: here's my hardware tarpit:
18:10:43 <ehird> ais523: "REGISTER ← EXPR / NANOSECONDS".
18:10:44 -!- Corun has quit (Remote closed the connection).
18:10:51 <ehird> EXPR has nand, xor, and, or, and all that stuff.
18:10:57 <ehird> Flip-flopper:
18:11:03 <ehird> actually
18:11:16 <ais523> ehird: congratulations, you just invented VHDL's assignment statement
18:11:18 <ehird> "(N) REGISTER ← EXPR / NANOSECONDS"
18:11:19 -!- Corun has joined.
18:11:20 <ais523> signal <= expression after time;
18:11:24 <ehird> lower Ns happen before later Ns
18:11:27 <ehird> ais523: I based it upon that
18:11:32 <ais523> and no, there is no "happen before" in hardware
18:11:39 <ais523> there is no ordering to commands in a Verilog/VHDL program
18:11:44 <ehird> ais523: wow. okay then.
18:11:45 <ehird> No (N) then.
18:11:48 <ais523> apart from inside processes, you can anagram a program and it still works correctly
18:11:56 <ehird> ais523: actually, instead of nanoseconds, let's measure in cycles
18:12:07 <ehird> "REGISTER ← EXPR / CYCLES"
18:12:11 <ais523> an assignment runs when the expression that's being assigned changes value
18:12:14 <ais523> plus a constant amount of time
18:12:14 <ehird> here's my flipflopper ("politician"):
18:12:22 <ehird> platform ← 0 / 0
18:12:27 <ehird> platform ← not platform / 1
18:12:38 <ehird> I think that should go 0, 1, 0, 1, 0, 1, ...
18:12:48 <ais523> ok, another hint: you can't, in practice, plausibly initialize variables
18:12:52 <ais523> or do things relative to time 0
18:13:00 <ais523> because everything starts out randomized at power-up
18:13:08 <ais523> the langs will let you initialize, but it won't synthesize
18:13:13 <ais523> this is why reset inputs are very common
18:13:13 <ehird> ais523: ah. the verilog example uses a reset input for that
18:13:33 <ehird> ais523: OK, I'll add inputs. Can I keep inputs the same as registers? I bet I can.
18:13:47 <ais523> yes, they're much the same
18:13:58 <ais523> incidentally, what you're calling "register" = "signal" in VHDL, "wire" in Verilog
18:14:14 <ehird> ais523: I'm just basing it on Verilog's "reg" from one example ;-)
18:14:18 -!- olsner has joined.
18:14:28 <ehird> OK, let's say there is one built-in register: CLOCK.
18:14:31 <ais523> well, it's saying that that wire is being used for a register
18:14:35 <ehird> here's my politician:
18:14:46 <ais523> to be precise: register = wire with a delay on so it can be used to store data
18:15:12 <ehird> { |reset| platform ← 0 / reset; platform ← not platform / CLOCK step }
18:15:14 <ehird> I suppose.
18:15:18 <ehird> This is getting annoyingly complex ;0
18:15:19 <ehird> ;)
18:16:08 <pikhq> ehird: Oooh! Oooh! Do it in Wireworld!
18:16:09 <ehird> ais523: what's the actual tarpit?
18:16:15 <ehird> nand plus what?
18:16:24 <ais523> signals/wires/registers whatever
18:16:31 <ais523> and a positive fixed after requirement
18:16:41 <ais523> although, that's a simulation tarpit
18:16:55 <ehird> ais523: i'd prefer something that can be synthesized too
18:17:01 <ais523> annoyingly, the code makes perfect sense in synthesizers but they can't synthesize it anyway
18:17:05 <ais523> because they all have rubbish programming
18:17:14 <ais523> it's not enough to simply use something as a clock
18:17:22 <ais523> you have to ram it down the interp's throat that it's a clock
18:17:27 <ais523> by using special "this is a clock" syntax
18:17:35 <ais523> which I think is just pattern-matched
18:17:48 <ais523> in VHDL, 4 syntaxes work, none of the infinity equivalent syntaxes do though
18:17:51 <ehird> ais523: let us assume a non-retarded synthesizer; as long as it can be done with them, that's ok.
18:18:02 <ais523> there are no non-retarded synthesizers, in that sense
18:18:14 <ehird> ais523: no, I mean, as in
18:18:17 <ais523> they wrote the limitations of synthesizers into the standard, rather than actually writing a decent synthesizer
18:18:28 <ehird> ais523: the tarpit→VHDL or whatever compiler, can add the "THIS IS A CLOCK YOU MORON" stuff itself
18:18:41 <ais523> yes
18:18:53 <ehird> ais523: so we don't have to worry about that
18:18:59 <ehird> just what synthesizers simply won't accept
18:19:14 <ais523> actually, I suspect writing a VHDL->VHDL compiler may make my fortune
18:19:23 <ais523> compiling from sane VHDL into what synthesizers accept :)
18:19:27 <ehird> haha
18:19:28 <ais523> (and yes, I just used a smiley)
18:19:54 <ehird> that is one piece of software i will be delighted to spread about the intertubes (← evil piracy destroying the world)
18:20:03 <ehird> ais523: isn't sane vhdl an oxymoron?
18:20:11 <ais523> VHDL is AnMaster-sane
18:20:15 <ais523> it's sort of so sane it's insane
18:20:17 <ehird> that's not sane.
18:20:32 <ais523> it was commisioned by the US armed forces, I think
18:20:39 <ais523> with instructions to make it resemble ADA as much as possible
18:20:45 <ais523> which explains a lot
18:20:51 <ehird> haha
18:21:03 <ais523> once you've seen VHDL, you realise that in fact it is the language that INTERCAL parodies
18:25:17 <ehird> ais523: so what would a complete definition of an absolute minimum hardware tarpit be?
18:25:41 <ais523> I'm not in the mood to do one in full, really
18:25:48 <ais523> especially as I'm trying to do other things atm
18:25:54 <GregorR-L> O_O
18:25:54 <ais523> and am also tired due to crazy sleep patterns
18:26:02 <ais523> (I've been up for over 24 hours in a row, /again/)
18:26:56 <ehird> ais523: eh, 'snothin'
18:26:58 <ehird> I'm pushing on 30
18:27:10 <ehird> GregorR-L: O_O?
18:27:59 <GregorR-L> I like Perceptively Chilly Sonata more every time I play it :P
18:28:03 <GregorR-L> Which is more than a bit scary :P
18:29:02 <ehird> GregorR-L: Give it REAL PERCUSSIVE DEMOLISHMENT POWER
18:29:09 <ehird> But I like that song too.
18:29:15 <GregorR-L> Too much lazy slash I have no idea how.
18:29:18 <ehird> ais523: you should listen to it, it'd give you a heart attack.
18:29:34 <ais523> ehird: you want me to have a heart attack?
18:29:40 <GregorR-L> ais523: http://codu.org/masterpiecemachine/getmidi.php?mpid=Perceptively+Chilly+Sonata
18:29:42 <ehird> ais523: well, no, but the experience would be worth it
18:30:03 <ehird> ais523: a masterpiece composed by a computer!!
18:30:08 <ehird> Nothing Like It!
18:30:19 <ehird> See the Masterpiece of the Bending Flow - generated by an ARTIFICIAL MIND(TM)!
18:32:05 <ehird> GregorR-L: it really is good, but it needs rests
18:32:08 <ehird> it's just too hectic
18:32:24 <GregorR-L> ehird: Yeahyeahyeah, gimme a break still :P
18:32:29 <ehird> <_<
18:32:30 <ehird> >_>
18:32:34 <GregorR-L> ehird: Also, feel free to poke around at the code yourself ;)
18:32:40 <ehird> GregorR-L: Open sores?
18:32:40 -!- ais523 has quit (Remote closed the connection).
18:32:53 <GregorR-L> ehird: Naturalismo, who do you think you're talking to?
18:33:00 <GregorR-L> http://codu.org/projects/masterpiecemachine/
18:33:05 <ehird> kay.
18:33:23 <ehird> GregorR-L: License? Also, that's not the auto-generator thing.
18:34:05 <GregorR-L> MIT, the auto-generator is in lib/autocomposer and autocompose
18:34:13 <ehird> GregorR-L: Language?
18:34:24 <GregorR-L> PHP :(
18:34:29 <ehird> GregorR-L: Srybutnothx.
18:34:43 -!- Sgeo has joined.
18:34:46 * ehird ponders genetically evolving an iterated rock/paper/scissors warrior
18:37:10 -!- ais523 has joined.
18:37:24 <ais523> well, at least I'm not being eaten by sharks
18:37:36 <Sgeo> hm?
18:37:52 <ais523> you wouldn't even have thought that trying to play a MIDI file could crash X
18:38:02 <ais523> link again
18:38:04 <ais523> ?
18:38:07 <ais523> I'll do it a different way this time
18:38:42 <ehird> ais523: http://codu.org/masterpiecemachine/getmidi.php?mpid=Perceptively+Chilly+Sonata
18:38:49 <ehird> ais523: impressive, though; it shows that the midi has true power.
18:38:53 -!- Corun has quit ("Leaving...").
18:38:55 <ehird> also, you might need to give it a few listens
18:39:09 <ehird> it is a bit of an acquired ... haunting.
18:39:25 <ais523> how autogenerated is it?
18:40:03 <ehird> ais523: neural networky thingy, each track independently composed following only a few basic rules on tempo etc
18:40:19 <ehird> he just set up his collaborative masterpiece engine to run on his genetic algorithm track generator
18:40:22 <ehird> er
18:40:23 <ais523> it's pretty auto-repetitive
18:40:24 <ehird> neural network
18:40:24 <ehird> not GA
18:40:27 <ais523> *almost-repetitive
18:40:34 <ehird> ais523: it's fractal!
18:40:39 <ehird> it has twists, though
18:40:41 <ais523> ah, that would explain it
18:40:48 <ehird> ais523: no
18:40:52 <ehird> I just made the fractalness up :P
18:41:45 <ais523> that would explain it anyway; just because it's an incorrect explanation doesn't prevent it being an adequate explanation
18:41:49 <GregorR-L> ehird: Wow, you sure think that this autocomposer is complex :P
18:41:58 <ehird> GregorR-L: do I?
18:42:01 <GregorR-L> It is in fact neither a neural network nor a genetic algorithm :P
18:42:26 <ehird> GregorR-L: thought you said it was a NN
18:42:29 <ehird> GregorR-L: what is it? rand()?
18:42:37 <GregorR-L> It's a simple randomized algorithm involving determining the "tension" of every note and trying to make the tension rise and fall.
18:42:56 <ais523> wow, that thing is /long/
18:42:57 <ehird> GregorR-L: ah, that's why it goes in thumps
18:43:03 <ehird> ais523: err, it's just 3-4 minutes
18:43:11 <ehird> ais523: that's not particularly long for a piece of music
18:43:12 <GregorR-L> ais523: Want a 35 minute one? I can do that.
18:43:16 <ais523> no thanks
18:43:19 <ais523> play it to ehird instead
18:43:21 <GregorR-L> ehird: It is when it's boring :P
18:43:24 <ehird> ais523: how is that long?
18:43:25 <ais523> I think I prefer hworld.mid
18:43:47 <ehird> harumph
18:45:13 <GregorR-L> http://codu.org/masterpiecemachine/getmidi.php?mpid=Debonairly+Boorish+Fugue // 35 minutes :P
18:45:26 <ais523> also, it's 304 seconds long
18:45:28 <ais523> thus over 5 minutes
18:45:36 <ehird> ais523: 5:00
18:45:47 <ehird> GregorR-L: make a 300bpm one
18:45:51 <ais523> 5:04 according to Timidity
18:45:54 <ehird> it'll be like DragonForce except EVEN WORSE.
18:46:04 <GregorR-L> ehird: Wait, I'm listening to this one, it's pretty good so far :P
18:46:11 <ehird> 'Tis.
18:46:24 <ehird> ais523: Debonairly is relaxing and musical, try it.
18:47:04 <GregorR-L> I think the basic algorithm is sound, I just need something to make it think globally.
18:47:55 <ehird> GregorR-L: but it leads to thumps
18:47:59 <ehird> attack, reduce, attack, reduce
18:48:01 <ehird> that's monotonic
18:48:08 <GregorR-L> Hence "think globally"
18:48:26 <ehird> GregorR-L: but that's the basic algorithm!
18:48:48 <ais523> ehird: I wouldn't call it relaxing
18:48:53 <ais523> although I like the choice of instruments
18:49:07 <ais523> what I should do, is port my BF-to-Fugue code to something sane
18:49:11 <Asztal> Perceptive Chilly Sonata is certainly better than the random one it generated for me
18:49:13 <ais523> and then run Lost Kingdoms through it
18:49:13 <GregorR-L> The basic algorithm thinks locally. I mean globally as in "in this section I'm going to crescendo, then here I'm going to steadily increase pitch, etc"
18:49:16 <ehird> "The model fails to generate the following obvious real-world solution: A, B, and C should all move in together and live in joyous tripartite depravity, and X should jump off a bridge."
18:49:19 <ehird> GregorR-L: ah
18:49:20 <ais523> or the gcc-bf hello world
18:49:58 <ais523> BF programs convert to music well, because different parts of the code do different things
18:50:10 <ais523> so have different programming-textures, which convert to different musical themes
18:50:38 <lament> music is ghay.
18:51:32 -!- tombom has quit ("Peace and Protection 4.22.2").
18:57:29 <GregorR-L> I wish my friend Eric knew how to code.
18:57:34 <GregorR-L> People who don't know how to code are weird.
18:57:47 <ehird> GregorR-L: I'm ten minutes into the Fugue.
18:57:49 <ehird> It's lovely.
18:57:54 <ehird> Also, everyone should know how to code :P
18:57:57 <GregorR-L> Yeah, I'm still listening to it :P
18:58:12 <GregorR-L> There are no /bad/ sections, a few really /good/ sections, it's just very background music.
18:58:15 <ehird> GregorR-L: Wow, around 10:10 on it has some nice blips.
18:58:18 <Asztal> I'm 1 minute in, don't spoil it for me!
18:58:23 <Asztal> noooo
18:58:28 <GregorR-L> lol
18:58:31 <ehird> Asztal: Protip: It's basically the same all the way through.
18:58:32 -!- Slereah has set topic: Have you read your SICP today? | http://tunes.org/~nef/logs/esoteric/.
18:58:37 <GregorR-L> ehird: X-D
18:58:41 <ehird> ... or at least, for 11 minutes; it may turn into heavy death metal later on.
18:58:56 <ehird> GregorR-L: Make that 300bpm, 7 minute, electric guitar monstrosity!
18:59:03 <ehird> With percussion, naturally.
18:59:05 <Asztal> it reminds me of one of the DOOM 2 songs because of the instruments
18:59:13 <Asztal> (and some of the melodies)
18:59:28 <ehird> Asztal: most cheerful doom song evar.
18:59:48 <Asztal> there's one that starts off as a twisted children's song
19:00:07 <GregorR-L> ehird: http://codu.org/masterpiecemachine/getmidi.php?mpid=Egg+Stream+Autocompose
19:00:25 <Sgeo> Asztal, Nasty Diablo, or is that something else?
19:00:29 <GregorR-L> It'll still be 25 minutes before I can listen to it though :P
19:00:41 <ehird> GregorR-L: Lacks electric guitar; percussion.
19:00:50 <ehird> Kind of spooky though.
19:00:53 <GregorR-L> :P
19:01:14 <ehird> Holy fuck it's only 19:00
19:01:53 <ehird> EVAL IS THE FUNCTION OF HOLY WORSHIP
19:07:26 -!- inurinternet has quit (Connection timed out).
19:07:44 <ehird> GregorR-L: it's got a sorta guitar solo going on
19:07:48 <ehird> at 18:30 on
19:08:57 <GregorR-L> Not there yet.
19:09:03 -!- Hiato has joined.
19:12:43 <GregorR-L> Ohh, for Egg Stream Masterpiece I forgot to specify a key signature, so they all use random (different) ones :P
19:13:14 <ehird> GregorR-L: *Autocompose
19:13:28 <ehird> GregorR-L: Anyway, do the 300bpm, 7 minute electric guitar / percussiony, or I shall eat your soul.
19:13:48 <GregorR-L> Aside from the fact that I have no percussion support, I didn't make it so you can specify an instrument P
19:13:50 <GregorR-L> *:P
19:14:03 <ehird> GregorR-L: Try at random!
19:14:22 <GregorR-L> Also I'm at work X-P
19:14:47 <ehird> >_>
19:14:57 <pikhq> ehird: Now, write eval using naught but lambda.
19:15:13 <ehird> pikhq: LC self-interpreters exist.
19:15:23 <pikhq> A full Lisp eval.
19:15:37 <pikhq> :P
19:15:59 -!- inurinternet has joined.
19:16:08 <ehird> pikhq: No.
19:16:27 <pikhq> Bah.
19:17:05 <GregorR-L> http://codu.org/masterpiecemachine/getmidi.php?mpid=Egg+Stream+Autocompose Remade. Still no "real" percussion, but wood blocks, and this one is fekking sweet :P
19:17:06 <Asztal> the great thing about Egg Stream Autocompose is that you can skip forward 5 minutes and there won't be any discontinuity
19:17:25 <ehird> GregorR-L: don't overwrite ;_;
19:17:31 <GregorR-L> TOO LATE HA HA
19:19:14 -!- ais523 has quit (Remote closed the connection).
19:19:46 <ehird> i want a symbolics lisp machine.
19:19:51 <ehird> i would use my 8 megawords of memory.
19:20:04 <ehird> and nine gigabyte SCSI disk capability.
19:20:09 <ehird> to store my CD-ROM collection.
19:20:21 <ehird> and run a jukebox on the 19" monochrome crt
19:20:22 <ehird> :-P
19:23:21 <ehird> pikhq: BTW, this is what the top-of-the-line symbolics machine looks like: http://www.joeydevilla.com/wordpress/wp-content/uploads/2008/12/symbolics_xl1200_lisp_machine.jpg
19:23:22 -!- ais523 has joined.
19:23:34 <ehird> pikhq: Yes, the computer is inside that "desk" - or should I say "case".
19:24:33 <ehird> Heck, it's a combined radiator, computer case, and desk.
19:24:37 <ehird> And noisemaker.
19:24:41 <ehird> What more could you POSSIBLY want?
19:25:55 <Slereah> your butt
19:27:04 <pikhq> ehird: A hookup to central air.
19:29:09 <ais523> hmm, idea
19:29:32 <ehird> pikhq: Or, one of these: http://upload.wikimedia.org/wikipedia/commons/9/95/3600-front.jpg; a big little machine - 31kg! (70 pounds). 4Mword memory (18MB), 760MB "ESDI" disk, 17" screen. Apparently ESDI's a very very old predescessor to SCSI.
19:29:51 <ehird> pikhq: That certainly has a larger nostalgia factor; it would quite likely not be too fun to work on, though.
19:29:52 * ais523 agrees to the following contract with myndzi, binding under the rules of Agora: "Any party to this contract can act on behalf of any other to cause em to register. This contract terminates after 4 hours."
19:29:59 * ais523 agrees to the following contract with myndzi\, binding under the rules of Agora: "Any party to this contract can act on behalf of any other to cause em to register. This contract terminates after 4 hours."
19:30:05 <ais523> grr, he changed nick
19:30:06 <ehird> LOLFAIL
19:30:13 * ehird sexes myndzi\
19:30:15 <ais523> it would be an interesting test, though
19:30:15 <ehird> \o/
19:30:19 <ehird> no script, it seems.
19:30:21 <ais523> yep
19:30:45 <ehird> pikhq: Also, the nostalgia costs $675; the XL1200 $3,500.
19:31:00 <ehird> So if I was gonna get an #esoteric LM it'd have to be a 36xx :P
19:31:07 <ehird> Donations welcome!
19:31:21 <ais523> ehird: I'll try later when the script's back up
19:31:40 <ais523> I'm pretty sure that R101, at least, would block it, though
19:32:11 <pikhq> ehird: Definitely, definitely needs to be used in lieu of a heater.
19:32:50 <ehird> pikhq: it's so big and foreboding; we'd get a http://www.asl.dsl.pipex.com/symbolics/photos/IO/DSC_2077-small.jpg keyboard!
19:33:02 <ehird> or http://www.asl.dsl.pipex.com/symbolics/photos/IO/keyboard-9647.jpg; depending on if they sell the new kbs w/ the old models
19:33:05 <ehird> that font looks like optima
19:33:14 <ehird> on the control/etc labels
19:33:41 <ehird> ais523: can you get FPGAs that are large arrays of really crappy processors?
19:33:55 <ais523> probably not, that wouldn't be useful
19:33:59 <ais523> and wouldn't be an FPGA by definition
19:34:03 <ehird> ais523: like Connection Machines; a bunch of processors doing measly work (CM CPUs operated on one bit(!) at a time), operating in parallel
19:34:20 <ehird> ais523: did you know that feynman worked on the Connection Machines?
19:34:29 <ehird> 65,536 CPUs communicating in the original version
19:34:31 <ehird> pretty damn impressive
19:35:53 <ehird> ais523: where should I look if I want to build such a system? but ofc at a lower scale
19:35:53 <bsmntbombdood> an fpgas is an array of really crappy processors
19:35:59 <ehird> say, 128 x shit
19:36:03 <ais523> ehird: I don't know
19:36:04 <ehird> bsmntbombdood: well, yes. technically.
19:36:11 <ehird> ais523: d'aww.
19:36:12 <ais523> bsmntbombdood: a 4-bit lookup table, followed by 1 bit of RAM?
19:36:21 <ais523> that misses most of the requirements to be a processor, I think
19:36:21 <bsmntbombdood> ais523: yeah
19:36:26 <ais523> on the plus side, you get loads of them
19:36:32 <Sgeo> http://failblog.files.wordpress.com/2009/06/fail-owned-traffic-light-fail.jpg
19:37:12 <ehird> Sgeo: Do not link to failblog, that despicable site of immaturity, failing to get the joke and 12-year-old esque shoutings of "FAILLLLLLL!!!!". Or I will wreck my vengeance upon you.
19:37:16 <ehird> :|
19:37:19 <bsmntbombdood> i know some fpgas have a general prupose cpu built in
19:37:25 <ehird> bsmntbombdood: yeah, that's lame
19:37:28 <Sgeo> ehird, I didn't link to the comments
19:37:39 <bsmntbombdood> ehird: also, http://www.tilera.com/products/processors.php
19:38:11 <ehird> bsmntbombdood: yeah i know, that's not the same. 64 processors is measly; we're talking about thousands here. and also, those individual processors will NOT be all that simple
19:38:26 <ehird> i'll wager, for instance, that they're a far cry from the "one bit at a time running simple instruction set" of the CMs
19:38:43 <bsmntbombdood> what's the point?
19:39:01 <ehird> bsmntbombdood: the point is that it's a novel computational model, actually speeds up some things massively
19:39:03 <ehird> and is just damn cool
19:39:09 <ehird> http://www.longnow.org/views/essays/articles/ArtFeynman.php
19:39:13 <bsmntbombdood> i want a tile64
19:39:18 <ehird> feynman worked out that you could do ... i forget; some quantum mechanics calculation
19:39:22 <ehird> in a day with these
19:39:27 <ehird> that would take 2 years on a regular machine
19:39:32 <ehird> (of similar raw computational caliber)
19:39:33 <bsmntbombdood> put that shit on a pcie card
19:40:30 <ehird> i want to have a job designing crazy supercomputer architectures
19:40:38 <ehird> i just love hundreds and hundreds of interconnected nodes
19:41:42 <bsmntbombdood> latency
19:42:14 <ehird> bsmntbombdood: read the article
19:42:47 <bsmntbombdood> also, you'd be smarter if you _decreased_ paralellism
19:43:04 <ehird> what?
19:43:09 <ehird> parallelism is awesome, you foo.
19:43:35 <bsmntbombdood> no, parellelism is necessary
19:43:45 <ehird> bsmntbombdood: read the article, you foo.
19:43:49 <bsmntbombdood> no
19:44:00 <ehird> your loss
19:45:12 <ehird> GregorR-L: egg stream autocompose #2 is lovely
19:45:21 <GregorR-L> Yes :P
20:02:45 <ais523> someone make a pun including the word "eggstreamly"
20:03:06 <ehird> no
20:04:12 -!- tetha has quit (Nick collision from services.).
20:04:21 -!- tetha has joined.
20:10:49 * Sgeo can't believe he missed an FS story
20:14:35 -!- Sgeo_ has joined.
20:14:35 -!- Sgeo has quit (Read error: 104 (Connection reset by peer)).
20:16:35 <Sgeo_> Oh, I skipped it because of confusing-link-ness I think
20:21:49 <ehird> GregorR-L: make more
20:22:11 <GregorR-L> ehird: I'm in the middle of pretending to do work while adding tendencies.
20:22:17 <ehird> GregorR-L: good on you!
20:22:21 <ehird> you are a true patriot.
20:22:23 <ehird> netriot.
20:26:49 <GregorR-L> The tendencies seem to push it towards slowness more often than they ought to.
20:29:08 <GregorR-L> http://filebin.ca/hgrmao/gen.mid // first tests with tendencies
20:29:10 <ehird> "And computers are big, too. You can buy a 1000MHz machine with 2 gigabytes of RAM and an 1000Mbit/sec Ethernet card for $1200 or so."
20:29:28 <ehird> GregorR-L: god that's slow
20:29:35 <ehird> GregorR-L: but interesting
20:29:42 <GregorR-L> After a bit it speeds up, but it still has a tendency to like long lulls >_>
20:29:48 <GregorR-L> And I'm not sure why at this point.
20:30:53 <GregorR-L> ("slow" is one of its tendencies, it just seems to be ... more powerful.)
20:31:44 <ehird> [ehird:~] % nginx --help
20:31:44 <ehird> 2009/06/11 20:30:19 [emerg] 3086#0: invalid option: "--help"
20:31:45 <ehird> [ehird:~] % nginx -h
20:31:47 <ehird> 2009/06/11 20:31:37 [emerg] 3176#0: invalid option: "-h"
20:31:49 <ehird> [ehird:~] % man nginx
20:31:51 <ehird> No manual entry for nginx
20:31:53 <ehird> Discoverability!
20:31:58 <GregorR-L> lawl
20:38:13 -!- ais523 has quit (Remote closed the connection).
20:48:55 <Deewiant> ehird: Try -? and lack of parameters
20:49:11 <ehird> just nginx would start the daemon
20:50:20 <Deewiant> Also -help
20:52:21 -!- Hiato has quit ("Leaving.").
20:53:29 -!- tombom has joined.
20:56:37 <ehird> 11/06/2009 20:55:20 TextMate[97936] This application is trying to draw a very large combo box, 145 points tall. Vertically resizable combo boxes are not supported, but it happens that 10.4 and previous drew something that looked kind of sort of okay. The art in 10.5 does not break up in a way that supports that drawing. To avoid breaking existing apps, NSComboBox in 10.5 will use the 10.4 art for large combo boxes, but it won't exactly match the rest
20:56:39 <ehird> of the system. This application should be revised to stop using large combo boxes. This warning will appear once per app launch.
20:56:46 <ehird> oh, Apple, such a homely log message
20:58:59 <ehird> Deewiant: -? and -h work, even though they didn't a second ago
20:59:08 <ehird> before i reinstalled after syncing the portfiles
20:59:09 <ehird> :DDD
20:59:11 <ehird> :P
20:59:13 <ehird> well -h didn't
20:59:15 <ehird> i didn't test -?
21:03:21 <Deewiant> This is why I use (/|--?)(h(e?lp)?|\?) :-P
21:04:48 <GregorR-L> http://codu.org/masterpiecemachine/getmidi.php?mpid=Onerously+Uptight+Toccata // weird one
21:06:15 <GregorR-L> They get more like music every time.
21:06:36 <GregorR-L> (This one employs the tendencies system)
21:07:04 <ehird> GregorR-L: How do I make my own auto track?
21:07:13 <ehird> ah i see
21:07:19 <GregorR-L> It's one click :P
21:08:51 <ehird> GregorR-L: http://codu.org/masterpiecemachine/getmidi.php?mpid=Onerous+Cake-Eating+Festival+Disallowment+Barricade
21:08:53 <ehird> I like it.
21:09:02 <ehird> It has melody underneath the chaos of 20 tracks.
21:09:09 <ehird> at 256bpm.
21:09:12 <GregorR-L> Hold on, I'm relistening to Onerously Uptight Toccata.
21:09:13 <ehird> With a mandatory 10 measure rest.
21:09:13 <GregorR-L> lawl
21:09:18 <ehird> And 100 measures.
21:09:22 <ehird> GregorR-L: the time signature is 8/2
21:09:25 <ehird> how does it interpret that? :P
21:09:27 <GregorR-L> The autocomposer ignores mandatory rests for the moment.
21:09:37 <ehird> oh wow, this is really nice
21:09:39 <GregorR-L> It does handle time signatures, but only if the numerator is a power of 2.
21:09:43 <ehird> the percussion really comes together
21:09:50 <ehird> GregorR-L: well 8 is
21:09:56 <ehird> GregorR-L: but 8/2 ain't no valid time signature
21:10:04 <GregorR-L> Yes it is.
21:10:09 <GregorR-L> It's just a weird one.
21:10:10 <ehird> hmm
21:10:11 <ehird> so it is
21:10:23 <ehird> GregorR-L: btw this is the best autocomposed tune yet imo
21:10:26 <ehird> it really flows
21:10:28 <GregorR-L> Anything where the denominator is a power of 2 is valid.
21:10:32 <ehird> it's not even dissonant
21:10:56 <ehird> god, it really flows well
21:11:01 <ehird> GregorR-L: this is one of the best things i've heard recently
21:11:11 <ehird> i'm not exaggerating in the slightest
21:11:33 <GregorR-L> WTF, how'd you get 20 tracks >_<
21:11:39 <GregorR-L> I disallowed >16, but apparently not well.
21:11:47 <ehird> GregorR-L: Well, don't.
21:11:48 <ehird> Because this is beautiful.
21:11:51 <ehird> Oh wow.
21:11:54 <GregorR-L> You're only hearing 16.
21:11:54 <ehird> It even ends properly.
21:11:58 <ehird> Am I?
21:12:01 <GregorR-L> MIDI only supports 16.
21:12:02 <ehird> GregorR-L: are you sure?
21:12:04 <ehird> Ah.
21:12:05 <ehird> Okay.
21:12:07 <ehird> GregorR-L: Which 16 am I hearing?
21:12:13 <GregorR-L> The first 16.
21:12:17 <ehird> GregorR-L: Feel free to chop off the unused ones in the database.
21:12:24 <ehird> The completed work as-is is spectacular.
21:12:29 <GregorR-L> I have no database concern, just that it allowed them >_<
21:13:00 <ehird> GregorR-L: listened to it yet?
21:13:00 <GregorR-L> Oh, and now I see why, too :P
21:13:04 <GregorR-L> Listening.
21:13:06 <GregorR-L> It's not bad :P
21:13:10 <ehird> GregorR-L: it gets better
21:13:15 <ehird> it really has parts to it, i swear
21:13:20 <ehird> it has song structure!
21:13:25 <GregorR-L> Suuuuuuure :P
21:13:34 <ehird> GregorR-L: around 0:18 the intro finishes
21:14:45 <ehird> GregorR-L: You can't deny it's awesome.
21:15:06 <GregorR-L> You realize that the only person complemented by saying it's awesome is me, right? :P
21:15:10 <GregorR-L> *complimented
21:15:15 <ehird> GregorR-L: Or the computer!
21:15:24 <ehird> I'm just complimenting the piece, really.
21:15:34 <ehird> It somehow totally hits a tiny bit of chaos with a whole lot of work.
21:17:25 <GregorR-L> Incidentally, part of the reason why it has a real ending is I mangled the algorithm to make the last measure always sound endish.
21:17:53 <ehird> :D
21:18:01 <ehird> GregorR-L: but it does do endy bits a little before that
21:18:13 <GregorR-L> Yeah, that's why I said "part"
21:18:17 <ehird> :P
21:19:04 * pikhq finished reading that Connection Machine essay.
21:19:11 <pikhq> Man, Feynman is awesome.
21:21:25 <ehird> GregorR-L: Why can't you enter any time signature?
21:21:44 <GregorR-L> ehird: It only knows how to choose note lengths by dividing a measure in half repeatedly.
21:21:52 <GregorR-L> So anything will "work", but e.g. 6/8 will be treated like 4/8
21:22:00 <ehird> GregorR-L: What about 6/16? :P
21:22:08 <GregorR-L> 4/16
21:22:51 <ehird> Warning: SQLite3::exec() [sqlite3.exec]: database is locked in /var/www/masterpiecemachine/lib/newmasterpiece.php on line 125
21:22:51 <ehird> Failed to add this Masterpiece to the database!
21:22:53 <ehird> LAL :D
21:22:59 <ehird> Bad timing am I
21:23:16 <ehird> The autocomposer is slower nao.
21:23:36 <ehird> GregorR-L: you should add an "autocompose all" button for lazy sods.
21:24:00 <GregorR-L> Sorry, I fekked something up so I'm poking around in the DB.
21:24:39 <ehird> GregorR-L: http://codu.org/masterpiecemachine/getmidi.php?mpid=Codu+Dot+Org+Slash+Masterpiecemachine+Slash+Questionmark+Newmp This is the song you hear while you are flown into the gates of hell.
21:26:00 <GregorR-L> Eh, so far not so great.
21:26:08 <ehird> GregorR-L: Yeah, it's very bad :P
21:26:12 <ehird> GregorR-L: I'm gonna try one in 1/1.
21:26:20 <GregorR-L> Oh, you're doing weird time signatures? :P
21:26:31 <ehird> GregorR-L: That is one of my primary sources of fun, yus.
21:26:37 <GregorR-L> Ah X-P
21:26:44 <ehird> Sorry, but the time signature and number of measures must be set to autocompose!
21:26:50 <ehird> Oops.
21:26:54 <ehird> GregorR-L: I tried to give it 1/1.
21:26:58 <ehird> GregorR-L: It didn't enter into the db.
21:27:05 <ehird> GregorR-L: Edit "On Freckled Petsnippers and Other Agglomerates" to be 1/1 plz?
21:27:10 <GregorR-L> Oh? That's weird.
21:27:19 * pikhq suggests 13/3
21:27:28 <ehird> pikhq: 66/6
21:27:42 <ehird> pikhq: played at 666bpm
21:27:53 <pikhq> 1337/31337
21:28:07 <ehird> pi/e
21:28:26 <GregorR-L> Fixt
21:28:34 <pikhq> e/{i*pi}
21:28:51 <GregorR-L> Wait, partially fixt :P
21:28:55 <ehird> GregorR-L: It still won't— yeah. :P
21:29:26 <ehird> pikhq: i wonder what imaginary time signatures would be like
21:29:28 <GregorR-L> Now fixt.
21:30:18 <ehird> GregorR-L: http://codu.org/masterpiecemachine/getmidi.php?mpid=On+Freckled+Petsnippers+and+Other+Agglomerates
21:30:21 <ehird> Doesn't sound very 1/1.
21:32:02 <ehird> GregorR-L: http://codu.org/masterpiecemachine/getmidi.php?mpid=How+Conventional+Pop+Song+Tune+What does not live up to its name.
21:34:44 <ehird> GregorR-L: you should make a meta version of the system which composes compositions
21:34:52 <ehird> so you can do _real_ generated masterpieces - in the normal sense
21:35:13 <GregorR-L> In what sense?
21:35:25 <GregorR-L> Oh, as in the tracks agree?
21:35:27 <ehird> GregorR-L: Right.
21:35:38 <GregorR-L> I /could/ :P
21:36:12 <ehird> GregorR-L: whuzzyu favyu compozzyu buyzzit?
21:36:18 <ehird> so i can make the direct antithesis
21:36:21 <ehird> thus ruining your mind
21:36:22 <ehird> FOREVER
21:36:44 <GregorR-L> ... whuzzyu ... "what is your"?
21:36:49 <GregorR-L> "Favorite"?
21:37:05 <GregorR-L> Compo...sition ... buyer?
21:37:15 <ehird> GregorR-L: Buy, zz, it.
21:37:20 <ehird> I fell asleep.
21:37:54 <GregorR-L> Probably Onerously Upright Toccata
21:40:08 <ehird> GregorR-L: It'd be nice if we could tweak the uhh spectra. Tendencies? That's the word.
21:40:28 <GregorR-L> You can ... by editing the code X-P
21:40:38 <ehird> ;.;
21:49:32 <bsmntbombdood> you know
21:49:36 <bsmntbombdood> heap sort is a really dumb algorithm
21:49:50 <GregorR-L> So is your face.
21:50:28 <bsmntbombdood> it doesn't cache at all
21:50:58 <pikhq> Y'know, heap sort is much better when you've already got a heap.
21:57:29 <Deewiant> Y'know, sorting is much better when you can assume that sort is the identity function
22:01:55 <bsmntbombdood> ...
22:18:47 -!- Corun has joined.
22:20:58 -!- tombom has quit ("Peace and Protection 4.22.2").
22:22:22 -!- GregorR-L has quit ("Leaving").
22:30:14 -!- BeholdMyGlory has quit (Remote closed the connection).
23:04:34 -!- Corun_ has joined.
23:08:04 <ehird> SCSI
23:08:06 <ehird> made of pie
23:08:07 <ehird> SCSI
23:08:08 <ehird> —a poem
23:10:23 <ehird> GregorR: can the genneys do multi harmony?
23:14:28 <GregorR> No.]
23:20:46 -!- Corun has quit (Read error: 110 (Connection timed out)).
23:25:24 -!- psygnisfive has quit ("Leaving...").
23:32:04 -!- oerjan has joined.
23:38:44 <oerjan> <warrie> One is #quote, and one is my fan club. <-- damn whipper snapper got his _own_ _fan_ _club_?!
23:38:50 -!- zzo38 has joined.
23:39:01 <oerjan> hi zzo38
23:39:50 <ehird> hi zzo38
23:40:10 -!- FireFly has quit ("Later").
23:40:13 -!- psygnisfive has joined.
23:40:28 <psygnisfive> 1/89!
23:40:41 <oerjan> that's a pretty small number
23:40:46 <oerjan> with the factorial and all
23:41:02 <psygnisfive> well, its an exclamation point, not a factorial mark
23:41:15 <oerjan> *whoosh*
23:41:33 <psygnisfive> interestingly, scheme can computer 89! instantly with perfect precision
23:41:52 <oerjan> well so can haskell
23:41:57 <ehird> psygnisfive: so can anything
23:42:00 <oerjan> probably python too
23:42:04 <ehird> that just requires a non-totally-shit bignum library
23:42:09 <ehird> which every civilized language has
23:42:16 <psygnisfive> i dont know about that but
23:42:23 <ehird> indeed, that much is obvious
23:43:04 <ehird> psygnisfive: it can also compute 1000! instantly with "perfect precision".
23:43:06 <ehird> and so on.
23:43:14 <ehird> psygnisfive: also, scheme is a language, not an implementation
23:43:37 <psygnisfive> yes, i suppose 89! is not quite as big as i imagined :o
23:43:59 <psygnisfive> but scheme by the spec has perfectprecision on numbers, i think
23:44:00 <psygnisfive> anyways
23:44:01 <psygnisfive> im off!
23:44:08 <psygnisfive> to eat
23:44:08 <ehird> psygnisfive: wait.
23:44:15 <psygnisfive> bye :D
23:44:18 * oerjan recalls that 1000000! or thereabouts got a bit much for lambdabot, unless we used binary splitup
23:44:19 <zzo38> Which esolang uses diagramatic tensor calculation?
23:44:31 <oerjan> or maybe it was 100000!
23:44:54 <zzo38> The TI-92 calculator can calculate some big factorial number
23:44:58 <ehird> psygnisfive:
23:45:00 <ehird> [ehird:~/Junk] % echo 'main = print (product $ enumFromTo 2 10000)' >f.hs
23:45:03 <ehird> [ehird:~/Junk] % ghc -O2 f.hs -o f
23:45:16 <ehird> psygnisfive: runs in 0.06s
23:45:17 <oerjan> zzo38: searching for "tensor" on esolang wiki gives no hits
23:45:21 <ehird> psygnisfive: beat that :)
23:45:44 <zzo38> Someone (possibly me? Or possibly someone else) should invent esolang with diagrammatic tensor calculations
23:46:43 <oerjan> even i don't know what that is (the diagrammatic part), so i think you may be on your own :)
23:46:44 <zzo38> I sit in this way so that I don't bump the ball and sign on the side of the desk
23:47:34 <zzo38> Do you know what tensor multiplication is? If you don't, I will tell you.
23:47:34 <fizzie> Scheme has bignums in the standard, though (well, I guess they're sort-of optional, it's just that all the other Scheme implementations will probably not invite you to their parties if you don't do them); that's not something that's in all "civilized" languages. (Except for a very narrow definition of civilized.)
23:47:52 <ehird> OK, zzo38pedia.
23:47:57 <zzo38> If you start with [1,-1] and repeatedly tensor square it you get the Morse-Thue sequence
23:48:12 <oerjan> ehird: also, what's wrong with [2..10000] ?
23:48:27 <ehird> oerjan: i was just expanding "product . enumFromTo 1"
23:48:41 <zzo38> A tensor multiplication of a AxB and CxD matrices gives (AC)x(BD) size of the result matrix.
23:49:17 <zzo38> You multiply each entry in the matrix with all entries in the other matrix and put them inside each part where the matrix wads
23:49:33 <oerjan> zzo38: i know what a tensor multiplication is. it's the "diagrammatic" part i don't know, as i said
23:49:48 <oerjan> well, more or less, anyway
23:49:59 <ehird> zzo38: oerjan is a published mathematician you know :D
23:50:41 <zzo38> A diagrammatic tensor multiplication, is you put various shapes with lines extending above and below, representing a vector or covector space. You do tensor multiplication horizontally and matrix multiplication vertically
23:51:59 -!- GreaseMonkey has joined.
23:52:26 <zzo38> For example, if a vector has 2 components, then a shape with 2 lines below and 3 lines above is a tensor representing a matrix with 4 columns and 8 rows
23:53:06 <zzo38> That's what a tensor diagram is.
23:53:22 <oerjan> btw matrix multiplication is just tensoring then taking the trace (diagonal sum) along a couple of the coordinates
23:53:26 <oerjan> iirc
23:53:48 <oerjan> B and C in the above case
23:54:23 <oerjan> hm that may not be quite the same kind of tensoring
23:54:43 <zzo38> Now do you know what a tensor diagram is? And do you know if you get the Morse-Thue sequence when starting with [1,-1] and repeatedly tensor squaring
23:55:20 <oerjan> 1 by 2 matrix ---> 1 by 2^n matrix? sounds plausible
23:55:45 <ehird> zzo38: it's thue-morse
23:55:59 <oerjan> ehird: my advisor always said Morse-Thue
23:56:05 <ehird> weird
23:56:25 <oerjan> and he loved using it as an example
23:56:49 <oerjan> (it gives a nice dynamical system of the kind we investigated)
23:57:22 <zzo38> I have never heard thue-morse. I have always seen it called Morse-Thue
23:57:49 <ehird> zzo38: wikipedia says thue-morse and makes no mention to morse-thue
23:57:54 <GregorR> http://filebin.ca/usbggh/UnhurriedlySkillfulToccata.mid // my first test of making the tendencies agree.
23:57:54 <ehird> also, the esolang wiki always says TM
23:58:09 <oerjan> we just copied wikipedia, probably
23:58:10 <ehird> zzo38: http://mathworld.wolfram.com/Morse-ThueSequence.html redirects to thue-morse
23:58:16 <ehird> so i'd say that's the "correct" one
23:58:19 <oerjan> oh
23:58:46 <oerjan> that might be slightly more "authoritative"
23:58:59 <ehird> one book in the results said "morse-thue" but meh
23:59:01 <ehird> thue-morse soudns nicer
23:59:03 <ehird> GregorR: that sounds nice
23:59:07 <ehird> GregorR: this could totally become something
23:59:27 <GregorR> I think if I add some ability for it to take certain bits and make them repeating themes ... possibilities.
23:59:31 <zzo38> Call it what you like. I just called it Morse-Thue because that is the only thing I have ever seen it being called.
23:59:32 -!- psygnisfive has quit (Read error: 104 (Connection reset by peer)).
23:59:48 <ehird> GregorR: Then the meta-tendencies. :P
←2009-06-10 2009-06-11 2009-06-12→ ↑2009 ↑all